Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-05-02から1日間の記事一覧

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その1)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今回から5回にわたって、examples/simple/temporal_decouplingの以下のファイルをみていきます。 この例題は、UVM 1.0に導入されたTLM 2.0の…