Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-05-03から1日間の記事一覧

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった トップ階層は、tb_env.svファイル内のtb_envクラスで、 examples/simple/tlm2/blocking_simpleと全く同じです。 class tb_env extends uvm_c…