Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Paradigm Works : OVM Scoreboard

Verification Engineerの戯言

またまた、Paradigm WorksがOVM関連のパッケージPW OVM Scoreboardを公開しました。
そして、SourceforgeにSystemVerilog FrameWorksとして公開しています。

このSystemVerilog FrameWorksの内容は、
This is a collection of tools and a code library to assist engineers who are developing SystemVerilog based verification environments
Components include utility libraries, scoreboard and shutdown manager implementation, register tool, etc.
のようです。


検証、Verification、SystemVerilog、OVM、Open Verification Methodology