Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilog : SV-2009 New Featuresについて

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

現在、Verilog HDL(IEEE 1364-2005)とSystemVerilog(IEEE 1800-2005)の2つの標準があります。
これをSystemVerilogに統一する作業が進められています。
2009/11/12(木)の日記:IEEE 1800-2009でも書きましたが、IEEEの標準化作業は最終段階になりました。

IEEE 1800-2009(以降、SV-2009)では、2つの規格を1つにまとめただけでなく、多くの機能も追加しています。

これから数日、SV-2009に追加された機能を見ていきます。

なお、SV-2009で追加された機能がEDAツールにてサポートされるのはかなり時間がかかります。

SV-2009に関する情報は、OVM at DAC 2009: Moscone Centerでのプレゼンテーション資料からです。
    Sunburst Design & Sutherland HDL present: SystemVerilog-2009 

      Enhancements for Design, Verification & OVM - Part 1 
      Enhancements for Design, Verification & OVM - Part 2

検証、Verification、SystemVerilog