Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2007-07-15から1日間の記事一覧

DPI-CのBFMと各社メソドロジー

Verification Engineerの戯言 SynopsysのVMM Mentor のAVM Cadence のURM 各社のメソドロジーでは、SystemVerilogを使っている(他の言語も使えるものもあるが) ということは、DPI-CのBFMが使えるはずだが、 各社のメソドロジーは、どれも検証コンポーネント…