Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-08-20から1日間の記事一覧

新サイト : 「SystemVerilogの世界へようこそ」、暫定公開します。

Verification Engineerの戯言 SystemVerilogに関するサイトを暫定公開します。 SystemVerilogの世界へようこそ 内容に間違い等がありましたら、コメントください。 よろしくお願いします。 検証、Verification、SystemVerilog

Bluespec SystemVerilog : メソッドの定義(ActionとActionValue)

Verification Engineerの戯言 ActionメソッドとActionValueメソッドの定義は次のようになります。 method Action ( type ) id ( methodFormals ) [ implicitCond ; ] { actionValueStmt } endmethod [ : id ] method ActionValue #( type ) id ( methodForma…