Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Bluespec SystemVerilog : メソッドの定義(ActionとActionValue)

Verification Engineerの戯言

ActionメソッドActionValueメソッドの定義は次のようになります。

    method Action ( type ) id ( methodFormals ) [ implicitCond ; ]
            { actionValueStmt }
    endmethod [ : id ]

    method ActionValue #( type ) id ( methodFormals ) [ implicitCond ; ]
            { actionValueStmt }
    endmethod [ : id ]

リファレンス・ガイドのPage.67によると、

    typedef ActionValue#(void) Action;

なので、Actionメソッドは戻り値がvoidActionValueメソッドなだけです。

検証、Verification、Bluespec SystemVerilog