Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-03-18から1日間の記事一覧

Cadence UVM_RGM2.5 Release

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.0は、VMMのRALベースになりましたが、ケイデンスはUVM 1.0が決まる前から、 UVM_RGMをリリースして…