Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilog FrameWorks Template Generator

Verification Engineerの戯言

Paradigm Works(http://www.paradigm-works.com)のSystemVerilogの検証環境を構築するための
ツールとして、「SystemVerilog FrameWorks」を販売している。

SystemVerilog FramWorksは、テンプレートファイルからSystemVerilogの検証環境を生成します。
この検証環境は、VMM、AVM、URMで使われているものと同じようなものになっているようです。

http://www.paradigm-works.com/products/index.shtml

データシート
http://www.paradigm-works.com/common/pdfs/products/ParadigmWorksDatasheet_SV_FrameWorks.pdf

このツールの一部の機能として、SystemVerilog FrameWorks Template GeneratorというものがあるようでSystemVerilogの検証環境を生成してくれるようです(VMM、AVM、URMに対応)。
ホワイトペーパーもあります。また、フリーバージョン(ただし、ユーザー登録が必要)もあるようです。

http://svf-tg.paradigm-works.com/svftg/

上記のURLにあるものは、各パラメータを入力することでVMMに対応した検証環境一式をtar.gzファイルに
まとめてダウンロードできるようになっています。
VMMユーザーのみなさん、使ってみてはいかがでしょうか?

上記のURLにあるSNUG San Jose 2007の資料は、SynopsysのSolvItのアカウントがあれば、見ることができます。