Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM 2.0 :Questa 6.4aで!

Verification Engineerの戯言

OVM 2.0をQuestaで使うときのことは、OVM 2.0 :Questa/IUSで、Questa 6.3h/6.4で、と書きましたが、

とうやら、6.4aでないと、新しいFactoryではコンパイルエラーがでるようです。


検証、Verification、SystemVerilog、OVM、Open Verification Methodology