Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Bluespec SystemVerilog : この暑い夏に、Bluespec SystemVerilogを学びます!

Verification Engineerの戯言

この暑い夏に、Bluespecを学びたいと思っています。
最初に、Bluespecが公開している文書(チュートリアル)をベースに実際にコンパイル等を行い、
どんな感じになるかを確認しながら進めていきます。

Bluespecが提供しているコンパイラ(bsc)は、Bluespec SystemVerilog記述をVerilog HDLに変換するだけでなく、
シミュレーションのための実行ファイルも生成します。

また、bscはSystemCのコードも生成できますので、実際にSystemCコードを生成させ、どのような記述になるかも確認したいと思います。

学びの期間は8月末を予定していますが、ブログへの書き込みはその後もちょっとだけ続くと思います。

Bluespec SystemVerilogcはどんなもので、どんなことができ、どんなことに利用できるか、ちょっと楽しみです。

みなさんもご意見くださいね!

P.S
暑い夏を乗り切るのに必要だと一般的に思われているであろうクーラーは、自宅にはありません。

検証、Verification、Bluespec SystemVerilog