Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

メッセージに色付けられます


バッチでシミュレーションを行うときにエラーメッセージ等を分かり易くするためにラベル名を変えますが、
PASS and FAIL Messages with Colors...!では、色を付けています。
昔懐かしのエスケープシーケンスを使ったやり方です。

これって、GUIベースのシミュレーションではどうなるのでしょうかね?

検証、Verification、SystemVerilog