Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

__FILE__マクロ


どっかにすでにアップされていますが、
CVCのブログ、SystemVerilog 2009 macro `FILE– absolute or relative path?では、
__FILE__マクロの値が絶対パスなのか相対パスなのかについて語っています。

ところで、__FILE__マクロと__LINE__マクロを知っていましたか?
マクロを記述したファイル名とライン番号に置換されます。

'undefすると、どうなるのだろうか?

検証、Verification、SysytemVerilog