Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemCでもUVM


先週のSystemC JapanAccelleraのセッションでもありましたが、
2014.Q4にSystemCでUVMが使えるようになります。

SystemC Verification 1.0 & UVM SystemC 1.0


DAC開催期間に行われた第20回、NASCUG Meetingでも、Keynoteとして、Introducing the Universal Verification Methodology (UVM) in SystemC and SystemC AMSのプレゼンが公開されています。

SystemVerilogのUVMと同じことがSystemCでできるようになります。

まさに、Verification 3.0 ですね!