Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2013-09-20から1日間の記事一覧

UVMのSequeceの使い方

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった めんたーのデニスさんのツイートで知ったDesign & Reuseの記事、 Easier UVM Sequences - SystemVerilog UVM Sequence and Task Equivalenceでは、 UVM…