Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM : シナリオ(ovm_scenario)を実行すると?

Verification Engineerの戯言

シナリオ(ovm_scenarioクラスインスタンス)をstartタスクで実行を開始すると、
    s1.start(my_scenario_controller,null);
次のような順番でタスクが実行されます。
    start
        +pre_body
        *body
            apply
                +pre_apply
                randomize()
                +mid_apply
                p_drv.put_req.put(data_req);
                p_drv.get_rsp.get(data_rsp);
                +post_apply
        +post_body
*タスク(bodyタスクのみ)は、シナリオで必ず定義する必要がありますが、
+のタスクは、必要なとき定義します。


検証、Verification、SystemVerilog、OVM、Open Verification Methodology