Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM : ovm_print_topology関数(OVM 1.1版)

Verification Engineerの戯言

2008.5.1にOVM : ovm_print_topology関数(OVM 1.0/1.01版)を書きましたが、OVM 1.1版ではovm_print_topology関数の実装が変更になりました。

OVM 1.1版

ovm_print_topology関数は、ovm_root.svhファイルで定義されています。
(ovm_rootクラスの関数ではなく、ただの関数としてつぎのように実装されています。
    function void ovm_print_topology(ovm_printer printer=null);
      ovm_top.print_topology(printer);
    endfunction
ovm_print_topology関数は、OVMのオブジェクト階層(実際には、ovm_rootクラスをトップとした階層)の各オブジェクトの内容を表示します。print_topology関数は、次のようになっています。
    function void ovm_root::print_topology(ovm_printer printer=null);
      ovm_report_info("OVMTOP", "OVM testbench topology:", 500);
      print_units(printer);
    endfunction

検証、Verification、SystemVerilog、OVM、Open Verification Methodology