Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM関連のリスト(詳細編、その1)

Verification Engineerの戯言

来週の月曜日で今年も半分になります。
このブログの今年前半はOVMがブームになりました。
そんなことで、今日(6/27)と月曜日(6/30)は、ブログの内容をまとめたものとします。

特徴

Simulation Phase
Class Factory
コンフィギュレーション
post_newフェーズ
Testcaseは、module それとも program に?
program blockを使わない理由は?

Layered Stimulus

Layered Stimulus
ovm_scenarioクラスとovm_scenario_controllerクラス
ovm_scenario_base::startタスク
ovm_scenario_driverクラス
ovm_scenario::apply_send/applyタスク
シナリオ(ovm_scenario)を実行すると?
Layered Stimulusの例題、その1
Layered Stimulusの例題、その2
Layered Stimulusの例題、その3
Layered Stimulusの例題、その4

Sequence

ovm_sequence_itemクラス
ovm_sequenceクラス
ovm_doマクロ
Sequenceの例題
ovm_driverクラス
ovm_sequencerクラス
ovm_sequencer_baseクラス
default_sequenceの設定方法
ovm_do_withマクロ
Virtual Sequencerの例
Virtual Sequencerの例(OVM Forumから)
item_doneを実行すると?
ovm_driverクラスからの戻り値をチェックするには?

SequenceとScenario

SequenceとScenarioの統合
SequenceとScenarioの比較、その1
SequenceとScenarioの比較、その2

ovm_testクラス

ovm_testクラス
ovm_testクラスの例題

run_testタスク

run_testタスク(OVM 1.0/1.0.1の場合)
ovm_env::run_testタスクの実装(OVM 1.0/1.0.1の場合)
引数を指定しないときのrun_testタスクの動作は?(OVM 1.0/1.0.1の場合)
ovm_env::run_testタスクおよびrun_testタスクの実装(OVM 1.1の場合)
ovm_test_top

set_global_stop_request関数

global_stop_requestタスク
set_global_stop_request関数(OVM 1.0/1.0.1版)
set_global_stop_request関数(OVM 1.1版)

ovm_print_topology関数

ovm_print_topology関数(OVM 1.0/1.01版)
ovm_print_topology関数(OVM 1.1版)
ovm_print_topologyからovm_top.print_topologyへ

各クラス

ovm_envクラス
ovm_threaded_componentクラス
ovm_voidクラスとovm_objectクラス
ovm_transactionクラス
ovm_transactionクラスの例題:examples/hello_world/ovm
ovm_report_objectクラス
ovm_componentクラス

検証、Verification、SystemVerilog、OVM、Open Verification Methodology