Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

VMM : Parameterized vmm_channelクラスの例題

Verification Engineerの戯言

Parameterized vmm_channelクラスの例題もvmm_optsクラスと同じでexamples/std_lib/record_replayです。
driver.svファイルでは、
    class driver_typed #(type T = vmm_data) extends vmm_xactor;

        vmm_channel_typed #(T) chans[$];

        function new(string instance, vmm_channel_typed #(T) chans[]);
            super.new("driver", instance);
            this.chans = chans;
            foreach (this.chans[i]) begin
                this.chans[i].set_consumer(this);
            end
        endfunction
        // 以下、省略
のように使っています。マクロよりわかりやすいと思います。

検証、Verification、SystemVerilog、VMM、Verification Methodology Manual