Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Verify2010 : zDPI


昨日(9/17:金)に、品川カンファレンスセンターで行われたVerify2010で聞いた
    「ZeBu のSystemVerilog 最新情報!- VMM/OVM/UVM 対応から最新機能zDPI まで」
            日本イヴ株式会社
            AE Manager  松本 光寛

のタイトルにある zDPI って?

この資料は、日本イブのサイトからもダウンロードできます。

エミュレータ(この場合、zebu)の中で動作するSystemVerilogのコードからDPI-C経由でC関数が呼べるというもの。
あくまでも呼べるのは関数のみ(C関数の中で、SystemVerilogのtask/functionを呼ぶものはダメ!)

コンパイルした後でも、C関数側のランタイムの変更もOKという。

これを使うとどんなことができるのかって?

例えば、エミュレータ内のSystemVerilogで何らかのトリガをしかけ、Fireしたら、DPI-C経由でC関数を呼び出す。
呼び出したC関数の引数にそのときの状態等を渡せば、C関数で人間がわかりやすいフォーマットにして、
表示したり、ファイルに出力するって感じ。この例は、配付資料にもちょこっと出ていました。

動作しているエミュレータ内とC言語が繋がることで、かなりいろいろなことができそうです。
あとは、みなさんのアイデアにかかっていますよって。、

検証、Verification、SystemVerilog、DPI-C