Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

new について


What is “special” about SystemVerilog’s new - constructorでは、
SystemVerilogのコンストラクタ(new)のお話。

コンストラクタなんだけど、なぜか? function newなんですよね。
だから、わからなくなるんですよ。別の名前にすればよかったのに。

newはfunctionなので、引数も指定できるし、継承もありなのです。
そんな内容が書かれています。

是非、チェックしてくださいね。

検証、Verification、SystemVerilog