Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

ModelSim Altera Starter Edition (16.0)


chromebookのubuntu環境にいろいろインストールしたよでは、
ModelSim Altera Starter Edition (15.x)をインストールしました。

Quartus Prime Lite Edition (16.0)がリリースされたので、
ModelSim-Altera Edition (includes Starter Edition)をダウンロードして、インストールしました。

ダウンロードサイズは、1.4GB。インストールに必要なディスク容量は約5GB。

chromebookに使っているUSBメモリ、32GBなので既に空きが無く、
使っていないファイルを消して、どうにかインストール。
vsim起動したんだけど、libXft.so.2が無いって、あー。
LD_LIBRARY_PATHを設定しないと。

そのPATHのディレクトリを忘れていた。いろんなことをして、
結果、/usr/lib/i386-linux-gnuだということで、設定して、起動できました。

ModelSim 10.4dですって、
docsディレクトリの下のリリースノート見れば、わかるけど。

docs/technotes/sysvlog.noteを確認したんだけど、
SystemVerilogの機能のほとんどは実装してあるんだね。
ただし、Assertion/Testbench関連は、ModelSimではなく、Questaでないと動かないけど。

なので、忘れないように
chromebookのubuntu環境にいろいろインストールしたよに、
追記しておきました。

そうそう、ダウンロードサイズですが、
Quartus Prime Lite Editionは、なんと、6.1GBです。
また、Altera SDK for OpenCLは、20.4GBです。ドヒャー。