Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

VMM

VMM : 出ましたVMM本のKindle版

Verification Engineerの戯言 AmazonからVMM本のKindle版がリリースされました。 今なら、$106.70! 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

VMM : Introducing VMM 1.2

Verification Engineerの戯言 Synopsysのブログ、Verification Martial Artsより、Introducing VMM 1.2 Parameterization: VMM 1.2 adds new classes and concepts to provide additional functionality and flexibility. We have added parameterization su…

JL Grayさん、VMM 1.2語る

Verification Engineerの戯言 Cool VerificationのJL GrayさんがVMM 1.2を語ったようです。 Something Old, Something New: Monday at DAC Twitterは、こちら 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

VMM : 1.2ベータプログラム開始

Verification Engineerの戯言 VMM 1.2ベータプログラムが開始されました。 Register for the VMM 1.2 Beta Release 目玉は、 SystemC/SystemVerilog TLM 2.0 Support * VMM Channel to TLM 2.0 interface かな? SystemC版はかなり前から存在するという話は…

generic functional coverage solution based on vmm_notify

Verification Engineerの戯言 A generic functional coverage solution based on vmm_notifyでは、 Functional Coverageの実装方法について語ってくれます。 OVMでは、Functional Coverageについてはあまり記述がないので、OVMユーザにも参考になると思いま…

How VMM can help controlling transactors easily?

Verification Engineerの戯言 VMM BlogのHow VMM can help controlling transactors easily?では、VMM 1.1で導入されたvmm_xactor_iterクラスの使い方について説明しています。 vmm_xactor_iterクラスを使うことで、イタレータで各トランザクタを扱えるよう…

VMM VIP’s on multiple buses

Verification Engineerの戯言 VMM BlogのVMM VIP’s on multiple busesでは、クラスの再利用について説明しています。 moduleのparameterをclassにも導入するとうまくいくと思っていても、結構、ダメなときがある。 そんなときの解決策として、マクロを使う。…

VMM:Did you notice vmm_notify?

Verification Engineerの戯言 Janickさんが語るvmm_notifyの使い方です。 このブログの最後の1行には、 Look for a pre-defined vmm_notify callback subscription class template in the next VMM release… とあります。 では、次のVMMリリース時期はいつか…

Generic VMM/XVC Based Verification Environment Methodology

Verification Engineerの戯言 SNUG Israel, 2009でDesignArt社からVMM/XVCの事例: Generic VMM/XVC Based Verification Environment Methodologyの発表がありました。 VMM/XVCの説明は、VMM本にもあまりないので貴重な情報です。是非、チェックしてみてくだ…

Multi-stream Scenario Generator (MSS)

Verification Engineerの戯言 Verification Martial Arts: A Verification Methodology BlogにMulti-stream Scenario Generator (MSS)がアップされました。 Multi-stream Scenario Generatorは、VMM-1.1で追加された機能です。 複数インターフェースを持つDU…

Using the New Features in VMM 1.1 for Multi-Stream Scenarios

Verification Engineerの戯言 San Jose, 2009でJL Grayさん(Verilab)、Clifford Cummingsさん(Sunburst Design, Inc.)が Using the New Features in VMM 1.1 for Multi-Stream Scenariosを発表しました。 このときのJL Grayさんのブログは、SNUG Plug: Multi…

2つのVMMキット

Verification Engineerの戯言 いままでContributionであった Questa & IUS-compatible VMM KitとOVM/VMM Interoperability Kitが登録されました。 検証、Verification、SystemVerilog、VMM、OVM、Verification Methodology Manual、Open Verification Method…

VMMブログ、本格化

Verification Engineerの戯言 4月27日のブログ、Using vmm_test Base ClassでVMMブログにJanickさん以外の人がアップすることは書きましたが、 どうやら、VMMブログが本格化していくようです。 Performance and statistical analysis from HDL simulations u…

Using vmm_test Base Class

Verification Engineerの戯言 VMM Centralのブログに、Using vmm_test Base Classがアップされました。 今回は、Janickさんではなく、SynopsysのFabian Delgusteさんです。 このブログでは、vmm_testクラスの使い方について説明しています。 私も昨年12月に…

JanickさんもExcelを使う

Verification Engineerの戯言 久しぶりにJanickさんのブログが更新されました。 Analyzing results of the Performance Analyzer with Excel パフォーマンスアナライザの結果をExcelで解析するというモノ。。。 パフォーマンスアナライザが出力するデータ(SQ…

VMM-LP : RAL-C

Verification Engineerの戯言」 VMM-LP本のPage 136の下記のコード(Example 7-7) ral_read_PWR_CTRL_in_dut(dut, &pwr); ral_write_PWR_CTRL_in_dut(dut, &pwr); このコードを検証で使うのは分かるが、商品のコードはたぶん、こんな書き方しないでしょう! …

VMM-LP : vmm_lp_designクラス

Verification Engineerの戯言 vmm_lp_designクラスの説明はAppendix Aにあるのだが、 ユーザーマニュアルが無いのでどのように使うかが分かりません。 VMM-LP Base Classをリリースするときには、Reference Manualだけでなく、User Manualもほしい! うー、…

VMM-LP本、とりあえず、読み終えました

Verification Engineerの戯言 vmm-lp本 読んでます。と同じ感想です。 VMM-LPのSystemVerilog Libraryの説明はほとんどありません。 Appendix-AにVMM-LP Base Class and Application Packageの説明がありますが、 これだけではどのように使ってよいのかがさ…

VMM-LP本、やっと4章

Verification Engineerの戯言 VMM-LP本の前半部分(1から4章)まで、やっと読み終えました。 Chapter 1 Introduction Chapter 2 Multi-Voltage Basics Chapter 3 Power Management Bugs Chapter 4 State Retention ここまでは、あくまでも前座。これからがVMM-…

VMM-LP本、到着

Verification Engineerの戯言 皆さんの手元にも届きましたか?VMM-LP本。 VMM-LP本 到着~~に遅れること、3日、私のVMM-LP本を入手しました。 厚さは、1.5cm程。Paperbackなので軽い。このくらいなら持ち運んでも苦になりません。 それから、$40、約200Page…

VMM-LP本は、$40!

Verification Engineerの戯言 VMM-LP本は、$40です。 安いです。その理由は、Paperbackだから! 確かにハードカバーにする必要は無いし。 このくらいの価格なら翻訳本とほぼ同じだね! 検証、Verification、SystemVerilog、VMM、Verification Methodology Ma…

VMM 1.1:vmm_ms_scenarioクラスの例題(その3)

Verification Engineerの戯言 my_atm_cell_scenarioクラスとmy_cpu_scenarioクラスは、次のようになっています。 class my_atm_cell_scenario extends atm_cell_scenario; local bit [7:0] id; function new(bit [7:0] id); super.new(); this.id = id; this…

VMM 1.1:vmm_ms_scenarioクラスの例題(その2)

Verification Engineerの戯言 my_scenarioクラスは、vmm_ms_scenarioクラスを継承します。 メンバーとして2つのシナリオ(my_atm_cell_scenarioクラスと my_cpu_scenarioクラス)を持ちます。 class my_scenario extends vmm_ms_scenario; my_atm_cell_scenari…

VMM 1.1:vmm_ms_scenarioクラスの例題(その1)

Verification Engineerの戯言 vmm_testクラスの例題は、examples/std_lib/mss_simpleです。 このディレクトリにあるmsgen_example.svを見てみましょう! 実際のテストプログラムは、program test; ... endprogramの部分です。 program test; vmm_log log = n…

VMM 1.1:ドキュメントとソースコードナビゲーション

Verification Engineerの戯言 VMM 1.1のドキュメントが格納されているディレクトリの下には、 pdf : PDF ドキュメント html : HTMLドキュメント devel : ソースコードナビゲーション の3つのディレクトリがあります。 PDFドキュメントとHTMLドキュメントは、…

VMM : Parameterized vmm_channelクラスの例題

Verification Engineerの戯言 Parameterized vmm_channelクラスの例題もvmm_optsクラスと同じでexamples/std_lib/record_replayです。 driver.svファイルでは、 class driver_typed #(type T = vmm_data) extends vmm_xactor; vmm_channel_typed #(T) chans[…

VMM 1.1:vmm_testクラスの例題(その2)

Verification Engineerの戯言 テストプログラムのexamples/std_lib/vmm_test/alu_test.svを見てみましょう。 `include "alu_if.sv" program alu_test(alu_if.drvprt alu_drv_port, alu_if.monprt alu_mon_port); `include "alu_env.sv" `include "tests.incl…

VMM 1.1:vmm_testクラスの例題(その1)

Verification Engineerの戯言 vmm_testクラスの例題は、examples/std_lib/vmm_test/testsディレクトリの次のファイルです。 test_add.sv test_sub.sv test_mul.sv test_ls.sv test_rs.sv たとえば、test_add.svでは、次のようになっています。 class add_tes…

VMM 1.1:vmm_testクラス

Verification Engineerの戯言 vmm_testクラスは、VMM 1.1で追加されました。 実は、このvmm_testクラスは、OVMのovm_testクラスと同様な機能を実現したものです。 ソースコードは、sv/std_lib/vmm_test.svとsv/std_lib/vmm.svに実装されています。 sv/std_li…

VMM 1.1:vmm_optsクラスの例題

Verification Engineerの戯言 vmm_optsクラスの例題は、examples/std_lib/record_replayディレクトリです。 Makefileの./simvコマンドの引数として、次のように+オプションを指定しています。 normal: ./simv +vmm_opts+NUM_TRANS=3+NUM_CHANS=1 +vmm_MODE=N…