Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

ModelSim XE

Verification Engineerの戯言

Xilinx(http://www.xilinx.co.jp)は、ModelSimのXilinx版のStarter Kitを無償で提供しています。
ただし、ユーザ登録をし、ライセンスを発行してもらう必要はありますが、

現在のバージョンはModelSim XE 6.2gですが、このバージョンでは、SystemVerilogのライブラリに不具合があり、SystemVerilogのシミュレーションはできません。下記のURLで報告されています。

http://groups.google.com/group/comp.lang.verilog/browse_thread/thread/b5ac4d720faf9d43/20d96871209e2db8

一つ前のバージョンである6.2cであれば、SystemVerilogが利用できます。

6.2cでModelSimのexamples内のSystemVerilogコードをコンパイルおよびシミュレーションを
してみたところ、制約付きランダム生成、カバレッジなどについては、QuestSimでないとだめでしたが、
インターフェースやクラスを使ったものはシミュレーションができました。

私のGenericCPUのSystemVerilogコード(クラス版)もコンパイルおよびシミュレーションできました。

大規模コードにはちょっと無理があるかもしれませんが、
SystemVerilogのお勉強用として、このModelSim XE Startは結構使えるかもしれません。

P.S

Googleで"ModelSim XE 6.2g"で検索したら、8月15日にXilinxから6.2gのパッチがリリースされたようですが、SystemVerilogのライブラリは含まれていないようです、、、、

http://japan.xilinx.com/xlnx/xil_sw_updates_display.jsp?update=mxe_libs&software=9.2i&os=Windows&BV_SessionID=@@@@1528671039.1187274056@@@@&BV_EngineID=ccchaddljeikdgkcefeceihdffhdfkf.0