Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Planning for Functional Coverage


CoolVerificationのJL GrayさんがVMM CentralにPlanning for Functional Coverageをアップしました。

SystemVerilogが出てきてからFunctional Coverageをやろう。と言われていますが、なかなか普及しません。
どうしてなんでしょうか?
JL Grayさんの記事から何かを得られるかもしれません。是非、読んでみましょう!

Function Coverageをスクラッチから作るのではなく、何かからできないのでしょうか?
NextOpのBugScopeがRTLからAssertionを作ってくれるのと同じように!

Functionが標準化できないので、RTLのStyle Guideみたいなものは絶対にできないので!
これは、Assertionも同じことですが、。。。

検証、Verification

P.S
最初のFunctional coverage cures ....の表現はよろしくないと思う。