Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

実力も運のうち 能力主義は正義か?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった 

Twitterに流れてきたので読んだ。

実力も運のうち 能力主義は正義か? Kindle版 マイケル サンデル

2021/4/15に購入したので2週間かかったか。家に居るので本を読むタイミングが無くなったんだよね。 昔は通勤で読んでいたが、その通勤でもアマゾンプライムで映画観るようになっちゃったので。

ということで、久しぶりに読書したような。。。

Twitterには、一時期、多くの人がぽちっとしていたが、その後、読み終えた感じを感じないのは、実際に最初の1章を読んで納得した。 この本、まず、日本に居ると、同意する感じがしないという印象が強い。。。

最後まで読んだが、あたし的には、得るものがあったのでよかった。。。 書いている内容の細かいところまでは知らなかったものの、日本でもそのようなことが発生するのはおこちゃまが生まれた頃に実感していた。 (そのために、あたしがやれることはやってきたので、まー、現状困っていることは無いのだが。。。)

具体的に内容を書くとネタバレになるので、気になる人は買って読みましょう。。。 物理的な紙の本では、字が小さくて辛いよという人は、Kindleとかで文字大きくすれば辛いのは軽減できますよ。 読むという行為が辛いのであれば、アマゾンには Audible版が 0 円になっているので、それを利用するという手がありますね。。

以上、

2021年4月の映画鑑賞

映画好きの戯言

4月、37本、アマゾン100円(9本)

3月、32本、アマゾン100円(12本)、DVD(3本)
2月、25本、アマゾン100円(10本)
1月:26本、アマゾン100円(5本)

3月までの映画鑑賞、83本

火口のふたり (2019)、アマゾン、100円
柄本佑、ある意味うらやましいとしか言えない。。。

レディ・プレイヤー1 (2018) / READY PLAYER ONE
GEOでDVDを借りて観たときは、何となくよくわからなかったが、今回観て、何を言いたいのがよく分かった気がする。
やっぱり、映画は何度も観ないとダメかな。

レゴ(R)ムービー2 (2019) / THE LEGO MOVIE 2: THE SECOND PART
ジャスティス・リーグのメンバーが出てくるのね。アベンチャーズじゃないんだね。

評決のとき (1996) / A TIME TO KILL
サミュエル・L・ジャクソンがめっちゃ若いし、ケヴィン・スペイシーは太っていない。キーファー・サザーランドは悪役で、パパのドナルド・サザーランドも出ている。オリヴァー・プラットも偉そうでない。。。サンドラ・ブロックも若い。

13デイズ (2000) / THIRTEEN DAYS
20年も前なので、 ケヴィン・コスナーがめっちゃ若い。

ロンドン・ロード ある殺人に関する証言 (2015) / LONDON ROAD
殺人事件のストーリーなのに、ミュージカル。。。不思議な感覚だった。舞台は典型的なロンドンの住宅地だった。駐車場って、裏庭にあるのかな?

PROSPECT プロスペクト (2018) / PROSPECT
グリーン・ムーン。。。空気清浄機付けているのに、ちゃっちいテントみたいな空間でヘルメット外しているのおかしいね

シャザム! (2019) / SHAZAM!
DVDを借りて観たので、2度目。面白いよね。。。。

セッション (2014) / WHIPLASH
DVDを借りて観たので、2度目。ラスト10分間はいいよね。原題って、WHIPLASH 、曲の名前なんだね。

トゥームレイダー ファースト・ミッション (2017) / TOMB RAIDER
DVDを借りて観たので、2度目。続編がありそうだけど、その後ないね。ララ役のアリシア・ヴィカンダーって、エクス・マキナ (2015)のエヴァだった。

レフト -恐怖物件- (2020) / YOU SHOULD HAVE LEFT、アマゾン、100円
ケビン・ベーコンがおじいちゃんになっていた。。。あたしもだけど。。。

CURED キュアード (2017) / THE CURED、アマゾン、100円
エレン・ペイジが出ていて、
結構おばちゃんになっていた。
インセプション (2010)から7年しか経っていないのに。

カラー・アウト・オブ・スペース -遭遇- (2019) / COLOR OUT OF SPACE、アマゾン、100円
ニコラス・ケイジ主演。超B映画。。映像もB級映画。原作が「H・P・ラヴクラフト」ということで納得。

黒い司法 0%からの奇跡 (2019) / JUST MERCY、アマゾン、100円
黒人ということで死刑囚になった人たちを助ける弁護士のお話。実話

映画 謎解きはディナーのあとで (2013)
このシリーズで、北川景子はコメディが面白いということで「家売るオンナ (2016)」に繋がった?

シャフト (2019) / SHAFT、アマゾン、100円
サミュエル・L・ジャクソン、60歳でおじいちゃんの領域?いや、生年月日:1948/12/21なので、70歳過ぎているジャン。おじいちゃん役のリチャード・ラウンドトゥリーが1942年生まれ。

アンストッパブル (2019) / ANGEL OF MINE、アマゾン、100円
久しぶりに、後半衝撃だった作品だった。
主演は、「ミレニアム ドラゴン・タトゥーの女」のノオミ・ラパス。舞台はオーストラリア。

こどもしょくどう 2018
ポカリスエットのCMで吉田羊と共演している 鈴木梨央 主演作。

映画 賭ケグルイ 2019
DVDで観ているので、2回目。志摩りんこと 福原遥が逝っていしまった役をやっていた。

ランペイジ 巨獣大乱闘 (2018) / RAMPAGE
DVDで観たけど、忘れていたよ。。。ジョージ(白いゴリラは、いいやつ)

ザ・ハッスル (2019) / THE HUSTLE
アン・ハサウェイ、だいぶ、老けてきたな。。。レベル・ウィルソン、あの金属のパンツを履けるとは。。。

ボーン・アイデンティティー (2002) / THE BOURNE IDENTITY
あんなに、お金があれば、困らないよね。。。。

ボーン・スプレマシー (2004) / THE BOURNE SUPREMACY
インドまでロシアの暗殺者が追ってきて、マリーが殺されちゃったので、復讐のために。。。ドイツ、ロシアへ。。

ボーン・アルティメイタム (2007) / THE BOURNE ULTIMATUM
3部作連続で観て、やっとわかった気がする。

下衆の愛 (2016)
渋川清彦っていうのね。

女王陛下のお気に入り (2018) / THE FAVOURITE、アマゾン、100円
エマ・ストーンより、 レイチェル・ワイズのが好きだな。。。。

HELLO WORLD (2019)
実写で作ったら、めっちゃ、お金かかりそう。

ランナーランナー (2013) / RUNNER RUNNER
インターネットカジノ、ヤバいよね。。。。

生きてるだけで、愛。 (2018)
趣里がヤバい、お姉ちゃん役やっているよ。趣里のお胸が見られます。

[ガーンジー島の読書会の秘密](https://www.allcinema.net/cinema/368299) (2018) / THE GUERNSEY LITERARY AND POTATO PEEL PIE SOCIETY
原題の方がいいんだけど、ね。なかなか良かったですよ。

[ファンタスティック・ビーストと黒い魔法使いの誕生](https://www.allcinema.net/cinema/363669) (2018) / FANTASTIC BEASTS: THE CRIMES OF GRINDELWALD
DVDで観ているとは思うんだけど、あまり覚えていなかったね。

[9人の翻訳家 囚われたベストセラー](https://www.allcinema.net/cinema/370437) (2019) / LES TRADUCTEURS、アマゾン、100円
フランス語と英語。前半と後半ではかなり違う展開に。。。そして、ラスト10分ぐらいからまた新たな展開が。。。

[ムーンライト ](https://www.allcinema.net/cinema/358996)(2016) / MOONLIGHT
2017年のアカデミー作品賞作品。観ていなかったので。

一度死んでみた (2019)
広瀬すずがメタルをやっていて、ボーカルなんだかど、歌下手だよ。
堤真一のボケ役も上手いよね。。。

ゴーストバンド (2013)
Webで公開されたものみたいだけど、広瀬すずのデビュー作っぽい。。歌は、下手だわ。

志乃ちゃんは自分の名前が言えない (2017)
難しい問題だよね。。。

ビリオネア・ボーイズ・クラブ (2018) / BILLIONAIRE BOYS CLUB
1983年のレーガン大統領の時代。。。携帯も無い時代。。

Arm CMN-700は凄すぎる

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった 

はじめに

ArmがCMN-700を発表しました。今までのCMN-600に対して大幅な進化です。

ArmのCoreLink CMN-700の情報は、=> CoreLink CMN-700

記録のために、みなさんのTweetの残します。

最初のツイートには、CMN-700のSpecが載っています。

  • 1つのソケットに、256コアまで対応
  • 1ダイで 512MB の System Level Cache
  • 1ダイで 12x12 (144) の cross point
  • 32 個の XXIC ポート
  • CCIX 2.0 と CXL 2.0 をサポート

12 x 12 (144) の cross point で、256コアを搭載ってどんな感じなんでしょうかね。

次のツイートでは、Homogeneous Computeでの構成(左側)と Heterogeneous Hub & Spoke での構成(右側)が載っています。

こちらのツイートは、AnandTechのブログです。Neoverse V1 / N2 と共に、CMN-700の紹介をしています。

Anandtech の CMN-700 の部分がめっちゃ詳しい

CMN-700の部分は、こちらです。Anandtechの記事は非常に詳しいです。この記事でも Arm の発表プレゼンテーション資料を用いていろいろと紹介しています。 他のところを見なくても、ここを見るだけでも十分だと思います。

この記事の最初にありましたが、CMN-700の前のCMN-600って5年も前なんですね。。。

www.anandtech.com

  • PCIe Gen5
  • DDR5
  • HBM3

に対応。おまけに、DDR5 or HBM3 のメモリコントローラは、何と、40個まで接続可能。。。40個ですよ。どんだけ、ピン数が必要になるのだろうか?

それから、「Memory System Resource Partitioning and Monitoring (MPAM)」なるものがあるようです。

Pooled Memoryとか。。。なんだか凄いな。

1ダイで256コアで2ダイをCCIXで接続すると、1台のサーバーで512コアって、、凄すぎます。。。

おわりに

Arm が Softbank => NVIDIA になり、いろいろとやりにくくはなっているものの、今回のCMN-700の発表は、CPUコアである Neoverse V1 / N2 以上にインパクトがあると思います。 ArmはCPUコアだけでなく、SoCを構成するために必要な内部インターコネクト(今回発表のCMN-700)だけでなく、メモリコントローラなどのSystem IPを提供しています。これだけのラインナップを揃えらるのはArmぐらいです (SynopsysもいろいろとIPを揃えていますが、CPUコアと内部インターコネクトは持っていません)

RISC-Vが勢力を伸ばしていますが、内部インターコネクトはどうなるんでしょうかね。。。そこ、ポイントだと思うのですが。。。

Verilatorの薄い本:Verilatorの中を調べる、第二弾、できました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった 

Verilatorの薄い本:Verilatorの中を調べる、第二弾、テストデータ解析編ができました。

Verilatorの薄い本:Verilatorの中を調べる、第一弾、例題編と同様に、BOOTH にてダウンロード販売中です。 お値段は500 600円です。内容を追加して、60頁を超えたので、500円から600円に変更しました。

vengineer.booth.pm

第一弾、例題編は、こちら、お値段は700円です。

vengineer.booth.pm

関連ブログ

vengineer.hatenablog.com

Chris Lattnerさんの講演ビデオとスライド:The Golden Age of Compiler Design in an Era of HW/SW Co-design

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった 

はじめに

記録のために

ASPLOS Keynote: The Golden Age of Compiler Design in an Era of HW/SW Co-design by Dr. Chris Lattner の講演ビデオが Youtube にて公開されました。

www.youtube.com

スライドも公開されています。

おわりに

Patterson先生の「A New Golden Age」これに合わせて、今回の講演のタイトルも「The Golden Age of Compiler Design in an Era of HW/SW Co-design」って感じです。

vengineer.hatenablog.com

コンピュータ・アーキテクチャといってもハードウェアだけでなく、ソフトウェアに対しても、New Golden Age なんですよね。。。

新しい Edge TPUデバイスはいつ出るのか?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった 

はじめに

Google Edge TPUがアナウンスされたのが、2018年の夏頃(このブログで最初に取り上げたのは、2018年8月9日。ブログの最初に、出遅れ感とあるのでもう少し前だったんでしょうね。

vengineer.hatenablog.com

販売は半年以上後の2019年3月

vengineer.hatenablog.com

その後に、「Google Edge TPUが他を全滅させるが、。。。」というタイトルのブログを書いたのは、2019年3月12日

vengineer.hatenablog.com

このブログはかなり人気があって、 追記)、2019.03.13 この記事の訪問者は、663 人。過去最高 485人(2018年6月1日、転職しました だったようです。

販売が始まってもう2年も経った Google Edge TPU。この2年間に、Edge TPUはいろいろな形態として販売されています。 USB Acceleratorは発売当時は79.99ドルでしたが、20ドル安くなっています。その他もそれなりにDiscountされました。

  • Dev Board、129.99 ドル
  • USB Accelerator、59.99 ドル
  • Dev Board Mini、99.99 ドル
  • Mini PCIe Accelerator、24.99 ドル
  • M.2 Accelerator A+E key、24.99 ドル
  • M.2 Accelerator B+M key、24.99ドル
  • M.2 Accelerator with Dual Edge TPU、39.99 ドル
  • System-on-Module (SoM)、99.99 ドル
  • Accelerator Module、19.99 ドル

また、2019年11月19日のブログでは、「やっぱり入っていた Pixel 4に、Edge TPUが」ということで、Google Pixel 4 の ASICに Edge TPU が入っていることが分かりました。

vengineer.hatenablog.com

Edge TPUのエコシステムもちゃんと出来上がっていますね。

vengineer.hatenablog.com

Pixel 6 には、新しいEdge TPUが入ってくる

今年の4月6日のブログでは、「Pixel 6 に搭載されるである SoC は、GoogleSamsungとの共同開発の模様」ということで、今までASICに実装していた Edge TPU などを SoC の中に入れるという感じですね。

vengineer.hatenablog.com

新しいEdge TPUは、どんな感じ

今年の2月7日に、「Apolloは、チョコじゃないよ、AIアクセラレータのアーキテクチャを探索してくれるよ。。。」というブログでAIアクセラレータの探索ができるというApolloについても紹介しました。この中では、DRAMにアクセスするアクセラレータの図もあったりします。こちらは、Edge TPU単体デバイスというより、上記のPixel 6用のSoCの中のEdge TPUに関連するものなのかもしれません。

vengineer.hatenablog.com

Edge TPU単体デバイスDRAMを付けるとコスト高になるので、新しいEdge TPUは Pixel 6 のSoCの中に実装する感じなのでしょうか? 上記に示したように、Edge TPUはいろいろなオプションがあるので、当分、新しいものが出てこなくてもよさそうです。1個じゃ足りなければ、2個載っている M.2 Keyもありますし、Accelarator ModuleとPCIe Switchを載せたボードを作ればいいというのもあるのかもしれませんね。

結論

Pixel 6のSoCに載る Edge TPU は、今までのEdge TPUより進化していると思うが、新しいEdge TPUが単体で出てくるのは来年以降の可能性が高いと思います。

おわりに

先週、Hatenaブログのアクセス解析を見たら、なんか、ヘンだったです。どうやら、何らかの理由で くら寿司がEdge TPUを利用しているのが検索されて、過去最大の 1214アクセスがあったようです。

Verilatorの薄い本:Verilatorの中を調べる、できました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった 

Verilatorの薄い本:Verilatorの中を調べる

できました。

カラーPDFの電子本です。

紙の本にするとコストがかかるだけでなく、デリバリーのコストがかかるため電子本にしました。 Visual Code Studio にて Markdownで記述したものをPDFに変換しました。Markdown から PDF に変換できたことのより、カラー表示ができるだけでなく、github.com にある VerilatorのソースコードへのlinkをPDFに埋め込むこともできました。

薄い本の内容は、先週、Verilatorの中を調べるということで、このブログに書きました、下記の4回分と

examples/make_hello_sc, examples/make_tracing_sc の例題、および、timescale, trace, assert, coverage についてを追加したものになります。

当初は、64頁を目標にして書き始めましたが、最終的には68頁になりました。

最初の15頁までを、ここで公開しています。

全頁の公開をどうするかは、現在、考え中です。決まったら、Twitterおよびこのブログでお知らせします。

追記)、2021.04.26

BOOTHにて、Verilatorの薄い本、Verilatorの中を調べるシリーズを販売しました。 第一弾の「例題解析編」のお値段は、700円です。よろしくお願いします。

vengineer.booth.pm