Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM Forum : OVM_ROOT example?

Verification Engineerの戯言

OVM Forumで、ovm_root::run_testについて、質問:what's the difference between run_test and ovm_root::run_test?がありました。
OVM 1.1でovm_rootクラスが導入されたことは、ovm_env::run_testタスクおよびrun_testタスクの実装(OVM 1.1の場合)で説明しました。

OVM Forumでコメントされているように、ユーザーがovm_rootクラスを意識する必要はありません。

検証、Verification、SystemVerilog、OVM、Open Verification Methodology

P.S
この記事で、500エントリ目でした。