Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

DPI-C : Hardware/Software Co-Verification Using the SystemVerilog DPI

Verification Engineerの戯言

Hardware/Software Co-Verification Using the SystemVerilog DPIは、DPI-Cを使ってISSを接続するというお話。
ISSでソフトウェアデバッガが使えるというのがメリット。

ISSが既に手元にある場合は、このアイデアはすごーくいいと思います。

検証、Verification、SystemVerilog、DPI-C