Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-01-01から1ヶ月間の記事一覧

OVM : Virtual Sequencerの例

Verification Engineerの戯言 何回かに分けて、OVMのSequence(Sequence Item)、Sequencer、Driverの各クラスおよびその例題について見てきました。だたし、例題は、examples/sequence/test.sv にしかありません。 しかしながら、OVM Forumには、Sequence関連…

VHDL-2008 : Just the New Stuff

Verification Engineerの戯言 なつたんからVHDL-2008本が出版されているのを知った。 以前(2007年5月12日)に、VHDL-2008 D3に関することを書いたが、今回のVHDL-2008はこれに関することなのだろうか? 買うか?どうするか?

OVM : ovm_do_withマクロ

Verification Engineerの戯言 ovm_doマクロについては、ここに書きましたが、 前回のdefault_sequencerの設定にもあったovm_do_withマクロについて、みていきたいと思います。 ovm_doマクロと同様にovm_do_withマクロのコードは、src/macros/ovm_sequence_de…

OVM : default_sequenceの設定方法

Verification Engineerの戯言 今回は、default_sequenceへの設定方法を見ていきます。 Sequenceの例題(その1)のtestモジュールのコードをもう一度見てみましょう! examples/sequence/test.sv module test; // かなり、省略 initial begin set_config_string…

STARC : TLモデリングガイド、入手

Verification Engineerの戯言 STARCのTLモデリングガイドが納品されたので、読み始めた。 通勤時間に内容をチェックしますので、しばらくお待ちを。

OVM : ovm_sequencer_baseクラス

Verification Engineerの戯言 ovm_sequencer_baseクラスは、ovm_threaded_componentクラスを継承するのでrunタスクがエントリポイントになります。 task ovm_sequencer_base::run(); start_default_sequence(); run_forever(); endtask start_default_sequen…

OVM : ovm_sequencerクラス

Verification Engineerの戯言 ovm_sequencerクラスは、src/methodology/sequences/ovm_sequence.sv[h]で定義されています。 class ovm_sequencer extends ovm_sequencer_base; ovm_seq_item_cons_if seq_item_cons_if; // 一部、省略 protected bit pull_mod…

OVMとVMMの違い(その1)

Verification Engineerの戯言 OVMとVMMの違いとして、テストベンチ側に何を使うかがあります。 OVMでは、module内にクラスを記述しているのですが、 VMMでは、program内にクラスを記述します。 OVMでは、たぶん、program内にクラスを記述しても大丈夫だと思…

今週の映画鑑賞、1/20-1/26

映画好きの戯言 スパイダーマン3/SPIDER-MAN 3(2007)(DVD) 愛と憎しみ、それに友情、でも、結局、愛と友情が勝った。 スティーヴン・キング 8つの悪夢/NIGHTMARES AND DREAMSCAPES: FROM THE STORIES OF STEPHEN KING(2006)(DVD) スティーヴン・キング研究…

STARC : TLモデリングガイドは、無料ダウンロード可能!

Verification Engineerの戯言 昨日、TLモデリングガイドを発注しましたことを書きましたが、どうやら、ユーザー登録すれば、ダウンロード可能のようです。 検証関連本コレクターとしては、手元に無いのはちょっと。 EDSFairで内容見てきました。ノウハウを4,…

OVM : ovm_driverクラス

Verification Engineerの戯言 OVM 1.0/1.0.1版 ovm_driverクラスは次のように定義されています。 src/methodology/ovm_driver.sv[h] virtual class ovm_driver extends ovm_threaded_component; // sequence item producer interface ovm_seq_item_prod_if s…

OVM : Sequenceの例題

Verification Engineerの戯言 OVMでは、Sequence/Sequencerというものがあります。AVMには無いので、URMからきているのでしょう。 クラス・リファレンス、ソースコード、例題のコードを見て、いろいろ調べたのですが、 どこから始めるのが一番良いかを何日か…

STARC : TLモデリングガイド

Verification Engineerの戯言 STARCのTLモデリングガイドがオンライン販売を開始したので、発注した。 たぶん、手元に届くのは来週でしょう。(発送は、EDSFair以降、と書いてあります) それまで、ちょっとお持ちを。。。

OVM : ovm_doマクロ

Verification Engineerの戯言 ovm_doマクロは、Sequence Actionマクロと呼ばれるもので、クラス・リファレンスのPage.266に説明があります。 Sequence Actionマクロには、ovm_doマクロの他にovm_do_withマクロがあります。 これらマクロは、itemあるいsequen…

EVEとCoWareの戦略的アライアンス

Verification Engineerの戯言 EEDesignとEDA ExpressによるとEVEとCoWareは戦略的アライアンスを結んだようです。 CoWareのツールでEVEが使えるのは良いが、EVEのオーバーヘッドはどのくらいなのだろうか? EDSFairで聞いてみよう? 聞いてみましたが、まだ…

OVM : ovm_sequenceクラス

Verification Engineerの戯言 ovm_sequenceクラスは、ovm_sequence_itemクラスを継承します。 class ovm_sequence extends ovm_sequence_item; function new (input string name="ovm_sequence", ovm_sequencer_base sequencer = null, ovm_sequence parent_…

OVM : ovm_sequence_itemクラス

Verification Engineerの戯言 VMMにおけるvmm_dataクラスに対して、URMではurm_sequence_itemクラスであることは、ここに書きましたが、 OVMでは、URMのurm_sequence_itemクラスを受け継いだovm_sequence_itemクラスになるようです。 src/methodology/sequen…

スウィーニー・トッド フリート街の悪魔の理髪師

映画好きの戯言 スウィーニー・トッド フリート街の悪魔の理髪師/SWEENEY TODD: THE DEMON BARBER OF FLEET STREET(2007)を初日(1/19)に見てきました。 ジョニー・デップとティム・バートンの名コンビのホラーミュージカル。 予告編で何回も見て、絶対見よう…

今週の映画鑑賞、1/13-1/19

映画好きの戯言 バブルへGO!! タイムマシンはドラム式(2006)(テレビ) バブル時代を謳歌しきれなかった私にとっては、お金の感覚が違っていたが、 太い眉毛と濃い色のパンストは受けた!。それにクラブではなく、ディスコというのも。。 あのボディコンは今…

OVM : ovm_componentクラス

Verification Engineerの戯言 ovm_componentクラスは、基本的な階層クラスで、次のような特徴を持っています。 Hierarchy Configuration Phase Factory Reporting Transaction recording base/ovm_component.svh ここでは、Hierarchy、Configuration、Phase…

OVM : ovm_report_objectクラス

Verification Engineerの戯言 ovm_report_objectクラスは、ovm_objectクラスにReport機能を付けるためのものです。 base/ovm_report_object.svh virtual class ovm_report_object extends ovm_object; function new(string name=""); function void ovm_repo…

OVM : ovm_transactionクラスの例題:examples/hello_world/ovm

Verification Engineerの戯言 ovm_transactionクラスの例題としては、examples/hello_world/ovmディレクトリがあるようです。 packet.svファイルにovm_transactionクラスを継承するpacketクラスがあります。 このpacketクラスをproducerクラス(producer.sv)…

OVM : ovm_transactionクラス

Verification Engineerの戯言 ovm_transationクラスは、OVMで基本的なデータクラスです。ovm_objectクラスを継承するので、 copy、print、record関数を再定義しています。また、トランザクションの時間に関する関数をサポートしています。 base/ovm_transact…

ネガティブハッピー・チェーンソーエッヂ

映画好きの戯言 今週末(2008/1/19)公開のネガティブハッピー・チェーンソーエッヂ(2007)をYahooのオンライン試写会で見ました。1/17(木)までやっています。 公開前の映画をオンライン試写会で見たのは、アルゼンチンババアが初めてで、今回2回目。5000人にあ…

OVM : ovm_voidとovm_object

Verification Engineerの戯言 OVMのクラス関係を探っていきます。 まずは、すべての親になるクラスovm_objectクラスです。 メンバーは、持ちません。 リファレンス・マニュアルでは仮想クラスですが、ソースコードでは仮想クラスになっていません。 どちらが…

OVM : Report機能、AVMとの比較

Verification Engineerの戯言 OVMのReport機能は、AVMのReport機能を継承しているようです。 そこで、各クラス(各ファイル)がどのように対応しているかを調べてみました。 AVMでは、libraries/systemverilog/avm/reportingの下にソースコードがあります。 av…

今週の映画鑑賞、1/6-1/12

映画好きの戯言 セレブの種/SHE HATE ME(2004)(GyaO) 現代版ハーレムか?それとも、大奥か? 同じ年の子供を19人も持つというのはどんな気持ちなんだろう! 闘牌伝アカギ(1995)(GyaO) 雀鬼シリーズよりお金がかかっていない作品だが、それなりに楽しめた。 釣…

OVM : URM(BFM, Driver, Monitor Class)との対応

Verification Engineerの戯言 URM:BFM, Driver, Monitor Classの各クラスは、OVMではsrc/compatibility/urm_meth_compatibility.svhで次のように定義しています。 typedef ovm_driver urm_bfm; typedef ovm_sequencer urm_driver; typedef ovm_monitor urm_…

OVM : Report機能

Verification Engineerの戯言 Report機能は、AVMを継承しています。 AVMでのReport機能では、次の4つの関数を使うことで対応します。 function void avm_report_message( string id, string message, int verosity, ... ); function void avm_report_warning…

OVM : ovm_threaded_component

Verification Engineerの戯言 ovm_threaded_componentクラスは、AVMのavm_threaded_componentクラスに対応します。 リファレンスマニュアルのovm_threaded_componentクラスの説明では、 virtual class ovm_threaded_component extends ovm_component; functi…