Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

UVM 1.0への道 : ケイデンスは?


ケイデンスのブログ:"We Want UVM 1.0! When Do We Want it? Now!"では、
ケイデンスのUVM 1.0への活動について書かれています。
ケイデンスは、SystemVerilogのOVMだけでなく、eのOVM、OVM eがあります。
このOVM eはケイデンスにとっては非常に重要です。
このOVM eをUVMに移植しないといけないのは結構時間がかかるのかな?
特に、UVM 1.0では、phasingが変わって多くのphaseが追加されたので!
追加されただけなのでそれほどでもないのかな?

そうそう、10月28日(木)に行われる明日の課題を解決する! 最新機能検証のすべてがわかる!! Incisiveソリューションによる検証効率向上セミナーでは、
  業界標準検証メソドロジUVMアップデート
というセッション(1時間)があるので、ここで何かわかるかも?

検証、Verification、Cadence、SystemVerilog、UVM、Unified Verification Methodology