Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Verilator の検索結果:

Verilator の BENCHMARKING & OPTIMIZATION

…9公開から始まった Verilator の フロントエンドは実は、Perl で書かれています。 これ です。 この中に、 BENCHMARKING & OPTIMIZATION という項目があり、Verilator コマンドへのパラメータによってコンパイル時間や実行時間を減らせるか書かれています。 最初は、OPT_SLOWにしておいて、レグレッションでは、OPT_FAST にすればいいのか? で、この部分だけを、今、評判の DeepL にかけて、日本語にしたのが、下の部分。 …

VTA で DPI & Verilator を使う

… HDL に変換後、Verilator にてシミュレーションできるようになっていますね。 dpi は、tsim_device.cc の Cモデルですね。 VTADPIInit関数にて、 VTAContextHandle handle VTASimDPIFunc sim_dpi VTAHostDPIFunc host_dpi VTAMemDPIFunc mem_dpi を設定して、 VTASimDPI VTAHostDPI VTAMemDPI を使って実行するようです。 VTA…

Vortexって、RISC-V ISA のGPGPU

… the TCE tools. とあります。 サンプルコードは、これ。アクセラレータのソースコードは、これ。 Vortex もこの仕組みを利用したようです。 Vortexのコードって公開されているのかな?と思って、Google君に聞いたら、出てきました。 github.com rtl というディレクトリの Makefile を見てみたら、Verilator でシミュレーションしていますね。 kernel というディレクトリには、Vortex上で動くソフトウェアがあるようです。

Xilinx FINN v0.2b beta

…ます。この中で、PyVerilatorというのがあって、PythonからVerilatorをコントロールするみたいです。Yaman Umuroglu さん(FINNをやっている人)の Fork もあり、こちらの PyVerilator を使えばいいようですね。 Simulation using Python This simulation can be used right after the Brevitas Export or when the network does …

AMD Threadripper 3990Xって速いの?

… が2つなので、Gen4 x16のGPUが4枚刺せると。 そうなると、I/Oチップはどうなるの? www.phoronix.com Threadripper 3990Xって、Zen 2 なんですね。 www.tweaktown.com Zen2 、 news.mynavi.jp ROMEのデスクトップ用って感じですね。 pc.watch.impress.co.jp Verilatorは、6コアで3倍の性能向上したので、64コアだとどうかな? チップが変わっちゃうのでダメかな?

verilator、結構凄いことになっていた

…な状況になってので、verilator について、いろいろ調べてみています。 NNgenのソースコード解析しようとみて、サンプルコード見たら、Veriloggenで、Veriloggenを見ていたら、シミュレータに verilator があって、verilator を見てみたら、verilatorって、SystemCと繋げられるようになっていて、結果的に、verilator のソースコード解析している感じになっています。 https://t.co/NbHXoQtSZY — C…

Chisel v3.0.0

…Scala) to Firrtl (this is your "Chisel RTL"). Firrtl to Verilog (which then be passed into FPGA or ASIC tools). Verilog to C++ for simulation and testing using Verilator. Welcome to the Chisel 3 wiki!、ここを見ると、いろいろ書いてあるよ。 cook bookもあるよ。APIもね。

NVIDIAのNVDLA、nvdlav1

…VDLA 1.0 がVerilatorに対応している(が、ビルドできるのは潤沢な資源を持つ金持ちだけ) 追記)、2017.12.09 NVDLAのSystemCモデルが公開されています。 追記)、2017.12.14 NVIDIAのオープンソース ディープラーニングアクセラレータを試す(1. NVDLAのビルドとシミュレーション環境構築) NVIDIAのオープンソース ディープラーニングアクセラレータを試す(2. Vivado Simulatorでの波形ダンプと階層解析) N…

Chisel3とFIRRTL

…Lに変換 ・おまけ:VerilatorでVerilog を シミュレーション用にSystemCに変換 ポイントは、一旦、FIRRTLというフォーマットに変換するということ。 Chisel2では、Chisel2(Scala)から直接Verilog HDLを生成していた。 Chisel3をビルドするには、 ・sbt (Scala Build System) ・FIRRTL ・Verilator が必要です。 FIRRTLもgithubにて公開されています。 言語仕様も公開されてい…

BOOM と FIRRTL

…ビルドしてみる。 ・verilator と sbtをインストール後、 $ git clone https://github.com/ucb-bar/firrtl $ cd firrtl $ sbt compile ( firrtl をコンパイル ) $ sbt test ( firrtl をテストする ) $ sbt assembly (utils/bin/firrtl を PATH に設定しておくといいよ。) ・テスト $ mkdir -p build $ ./utils/…

verilatorでLint

…ました。 どうやら、verilator を使えばいいようです。 久々の verilator フリーな EDA 関連ツールの話題:verilator Tip: Verilog lint with Emacsでは、Emacsでverilatorを使うともっと便利というものが書いてあります。 どうでしょうかね? @marsee101 さん! 追記)、 おっと、CQ出版のインターフェース:3月号に、 Verilog HDLによるCRC32演算回路をC++化して動作を確認する事例で示す…

High Performace SoC Modeling with verilator

…ling with verilator、 60頁の読みごたえたっぷり。 論理合成可能なCPUモデル(OpenRISC 1000)をverilatorを使って、 C++モデルに変換し、SystemCで高速シミュレーションするというお話。 題材に対して、どこをどうしたかまで詳しく説明があります。 簡単に言うと、CarbonのModelBuilderのようなものです! 検証、Verification、Verilog HDL、SystemC P.S marsee101さんのツイートに…