Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-05-06から1日間の記事一覧

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その3)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった initiator.svファイル内のinitiatorクラスで、次のようになっています。 examples/simple/tlm2/basic_blockingのinitiator.svに対して、pack…