Vengineerの妄想

人生を妄想しています。

過去を振り返る会 : HDL Simulator

はじめに

今回の過去を振り返る会は、HDL Simulator です。

初めてのHDL Simulator

確か、初めて、HDL Simulator を使ったのは、Gateway Design Automation の Verilog-XL。エステー・ハイテクが代理店をしていた記憶があります。 SynopsysのDesign Compilerも同じでした。

Verilog-XL と Design Compiler にて、初めて、Full HDLでのASICを開発しました。

Gateway Design Automation は、その後、Cadenceに買収されたので、CadenceのVerilog-XLになりました。

ちなみに、VerilogVerilog-XL の前のシミュレータで、Verilog-XL は Verilog よりも速いということでした。 ここいら辺も参考になります。

Verilog HDLは言語で、Phil Moorby -san が開発したもののようです。大昔、Superlog の宣伝のために来日した Phi -san にお会いしました。。。

Verilogは、Simulator、Verilog HDLは言語です。間違わないでね。

その他の HDL Simulator

お仕事で使った記録に残っているのは、

  • Chronologic Simulation の VCS (Synopsys に買収されました)
  • Model Technology の ModelSim とその後のHDL Simulator (Mentor Graphics に買収されました)
  • Cadence の NC-Verilog とその後のHDL Simulator

です。つまり、3大EDAベンダーのHDL Simulator を使ったことになります。

あたしは絶対に、Verilog HDL だったのですが、諸般の事情で VHDL との混在な場合もありました。

その時、色々と苦労がありました。結果的には、ModelSimが一番まともでした。

拡張インターフェース

HDL SImulator には拡張インターフェースがあります。

これらのインターフェースを使って、C言語でモデルを開発した記録があります。

SystemVerilog の登場

2005 年、Verilog HDL の進化版ということで、SystemVerilog が IEEE で標準化されました。P1800 です。

そして、拡張インターフェースも、DPI-C (Direct Programming Interface) となり、Verilog HDLのFLI/VPIよりも使いやすくなりました。

DPI-Cは、SystemVerilog の最大なる武器だと思っています。この DPI-C を使って、ASCIの検証をするようになりました。。。

その前は、PLIを使ってCモデルをSimulatorに組み込んで使っていました。

おわりに

初めて、HDL Simulator (Verilog-XL) に触ったのが、1991年頃だと思います。そうなると、既に34年も使っていることになりますね。

最近は、お仕事以外で HDL Simulator を使うことは無くなりました。引退したら、もう、使わないでしょうね。。。。。

おわりに