Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Cocotb


Then, Python walked in for verificationは、Pythonを使って検証するCocotbの記事。

Simulatorとしては、
 ・Icarus
 ・Synopsys VCS
 ・Aldec Rivieira-PRO
 ・Mentor Questa
 ・Cadence Incisive
のようです。

インターフェースとしては、
 ・Verilog HDL => VPI
 ・VHDL        => VHPI
です。
ただし、Mentor QuestaのVHDLに関しては、専用のFLIになります。

ドキュメントは、ここにあります。