Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

DVCon2008のセッション2

Verification Engineerの戯言

DVCon2008の2月20日セッション2は、SystemVerilog DPI-C関連です。

    ・Introducing DPI-C++
  ・Bridging the Application and Design Gap: Utilization of the GDB Proxy Protocol for Remote Control of an RTL Simulation
  ・VFlect: Effortless Object Interoperability Between C++, SystemC and SystemVerilog

2番目の内容が詳しく知りたい。

Googleで調べてみたら、2008年3月31日から4月1日までにSan Joseで開かれるSNUGのセッションでも同じ内容(TC4:Bridging the Application and Design Gap: Utilization of the GDB Proxy Protocol for Remote Control of a VCS Simulation)があるようです。

サイトからの抜粋
This paper details enhancements to the top-level verification testbench of a recent DSP project which provide a way to allow applications engineers to access an RTL environment without forcing them to leave the development environment that are already familiar with.
Using SystemVerilog in conjunction with C routines through a DPI interface, we have implemented a method to control a VCS simulation remotely from a full-feature debugger by utilizing a low-level GDB protocol interface. This provides the ability to launch a normal VCS simulation, and then start a remote debugging session which will attach to the simulation through a Unix socke
Kelly Larson, MediaTek, Inc.

面白そう。