Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

UVM : Command Line Interface (その4)


UVM : Command Line Interface (その1)
UVM : Command Line Interface (その2)
UVM : Command Line Interface (その3)の続きです。
  +UVM_XXXという形式のコマンドは、次の7種類です。

    +UVM_DUMP_CMDLIN_ARGS を指定すると、
            すべての引数をツリー構造で表示していれます。

    +UVM_TESTNAME=<test_name> では、
            テスト名(test_name)を指定できます。

    +UVM_VERBOSITY=<verbosity> では、
            <verbosity>にUVM_NONE, UVM_LOW, UVM_MEDIUM, UVM_HIGH, UVM_FULLを指定でき、
            各レベルにあわせたメッセージが表示されます。

    +UVM_TIMEOUT=<timeout>,<overridable> では、
            <timeout>にタイムアウトの時間を、<overridable>にYES, NOを指定できます。

  +UVM_MAX_QUIT_COUNT=<count>,<overridable> では、
            <count>には最大の終了カウント(max quit count)を、
            <overridable>に1, 0を指定できます。

  +UVM_PHASE_TRACE では、
            フェーズ(phase)実行状況をトレースしてくれます。

    +UVM_OBJECTION_TRACE では、
            オブジェクション(objection)動作をトレースしてくれます。

検証、Verification、SystemVerilog、UVM、Unified Verification Methodology