Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-05-20から1日間の記事一覧

UVM 1.0 : UVM Run-Time Phases Primer (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった uvm_componentクラスは、次の3つのvirtual関数があります。 phase_started phase_ready_to_end phase_ended これら3つのvirtual関数には、uv…