Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2007-01-01から1年間の記事一覧

ESL 2.0?

Verification Engineerの戯言 EDA Express(http://www.eda-express.com/news/?m=p&idno=1211)によると、 CoWareは第2世代のESL技術である「ESL 2.0」をアナウンスしました。 アーリーアダプター (Early Adopters:初期採用者)が ESL 1.0 で、 アーリーマジ…

e-Support in Synopsys' VCS ?

Verification Engineerの戯言 Verification Guildのフォーラムで「 e-Support in Synopsys' VCS ? 」のスレッドが盛り上げっています? http://verificationguild.com/modules.php?name=Forums&file=viewtopic&t=2167 VCSがeをnativeサポート(PLI接続ではな…

Embedded Systems Technology's (EST)

Verification Engineerの戯言 http://ElectronicSystemLevel.com のフォーラムによると、 Graham Hellestrand's New Company EST http://electronicsystemlevel.com/phpBB/viewtopic.php?t=696 そうなんです。VaSTの創業者でCEOを勤めていた(最後はCTO)のGra…

SystemVerilog User Group Fall Meeting:プレゼンテーション資料

Verification Engineerの戯言 SystemVerilog User Group Fall Meetingのプレゼンテーション資料が公開されました。 ただし、登録メンバーのみアクセス可能です。 http://www.svug.org/Resources/tabid/69/Default.aspx Tutorials * SystemVerilog for Verifi…

Google ブック検索:検証関連本のリスト

Verification Engineerの戯言 Googleブック検索に検証関連の洋書をリストアップしました。ご利用ください。 http://www.google.com/books?as_list=BDahyz9YQqOW9tu3U2oGXARoUBoQ_1nuZJ-oWkpuaMcgTcvnJ22k&hl=ja

extern

Verification Engineerの戯言 SystemVerilogのclassとinterfaceでは、taskとfunctionをexternできます。 たとえば、ex_externクラスにadd関数を宣言します。このとき、externを付けます。 class ex_extern; extern function int add( input int a, input int…

スターダスト

映画好きの戯言 スターダスト(http://www.stardustmovie.jp/top.html) 流れ星の女性を若者、魔女、国王の跡取り達が争奪するおとぎ話。 イングランド(英国)なので流れ星である女性も英語をしゃべるのはいつもの通り。 魔女のミシェル・ファイファーは「HairS…

今週の映画鑑賞、10/28-11/03

映画好きの戯言 バイオハザード2アポカリプス/RESIDENT EVIL: APOCALYPSE(2004) http://www.allcinema.net/prog/show_c.php?num_c=320447 強力になったALICE、この作品を見ないと現在公開中の「バイオハザードⅢ」がわかりませんよ! デジャブ/DEJA VU(2006)(…

VMM : Call Back

Verification Engineerの戯言 VMMには、Call Backというものが出てくる。 オブジェクト指向であるクラスを理解するのと同じくらい理解できないのかもしれない。 C言語を使ったことのある人でもCall Backを使ったプログラムを書くことはほとんどない。 それに…

Efficient Testbench Architectures for SoC Designs using SystemC and SystemVerilog

Verification Engineerの戯言 SNUG : Synopsys Users Group(http://www.snug-universal.org/)のEurope 2007 http://www.snug-universal.org/cgi-bin/search/search.cgi?Europe,+2007 で、Infineon/AMDがDPI-Cを利用した事例を発表しました。 Efficient Testb…

TensionからCarbonへ

Verification Engineerの戯言 「Tensionはどうなる?」 http://blogs.yahoo.co.jp/verification_engineer/10069083.html 「続、Tensionはどうなる?」http://blogs.yahoo.co.jp/verification_engineer/14967434.html でTensionからCarbonへの移行が始まること…

Using SystemVerilog DPI to Create Comprehensive Hardware/Software Co-Verification Environments

Verification Engineerの戯言 SNUG : Synopsys Users Group(http://www.snug-universal.org/)のBoston 2007 http://www.snug-universal.org/cgi-bin/search/search.cgi?Boston,+2007 で、MarvellがDPI-Cを利用した事例を発表しました。 Using SystemVerilog …

Simplifying Vertical Reuse with Specman Elite

Verification Engineerの戯言 e関連が続きますが、 The Cadence Design Network User Group Community (http://www.cdnusers.org/Home/tabid/348/Default.aspx) にSpecman(e)関連の論文がアップされました。 http://www.cdnusers.org/Articles/Download/tabi…

バイオハザード・シリーズ

映画好きの戯言 11/3(土)に公開される「バイオハザードⅢ」。 その前知識として、本日(10/28)と土曜日、つまり公開日(11/3)に 「バイオハザード:テレビ朝日」、「バイオハザード2アポカリプス:フジテレビ」がテレビ放映されます。 この作品も「インビジブル」…

ALDEC : SystemC Resource

Verification Engineerの戯言 2007年5月1日に、Aldec Verification Methodology Seminarsについて書きましたが、 http://blogs.yahoo.co.jp/verification_engineer/4903903.html Aldec社のSystemC関連のリソースが下記のURLにあります。 http://www.aldec.co…

今週の映画鑑賞、10/21-10/27

映画好きの戯言 乱歩地獄(2005)(DVD) http://www.allcinema.net/prog/show_c.php?num_c=322385 浅野忠信(Charaの旦那)が最初から最後まで出ているのねー。 「鏡地獄」はよかったが、「芋虫」、「蟲」はイマイチ、最初の「火星の運河」はわからん。 プライト…

IEEE1647-2008のDraft3版、公開中

Verification Engineerの戯言 Specman Verification(http://www.specman-verification.com/)によると、 eの標準化IEEE1647-2008のDraft3が公開中です。 http://ieee1647.org/downloads/P1647_Draft_3_071008.pdf eの標準化がされているのは知っていましたが…

STARC-TLモデリングガイド

Verification Engineerの戯言 昨日、STARC-IP機能検証ガイド(http://blogs.yahoo.co.jp/verification_engineer/24655778.html)について書きましたが、STARCではTLモデリングガイドも作っているようです。 TLモデリングガイドのSTARC標準化 http://www.starc.…

STARC-IP機能検証ガイド

Verification Engineerの戯言 Synopsys Users Meeting 2007(http://www.synopsys.co.jp/jsnug2007/program/index.html)で、 STARCからIP機能検証ガイドと事例について発表がありました。 「STARC-IP機能検証ガイドとPCI Express検証用IPによる実証」 詳細は…

モデル、トップテストベンチ、テストプログラム

Verification Engineerの戯言 検証環境の実装では、3つの要素(モデル、トップテストベンチ、テストプログラム)が必要なると思います。これは、SystemVerilogを使っても、SystemCを使っても同じです。 モデルは、独自のモデルの他にVIP(検証用IP)を使うことが…

Hardware Verification with SystemVerilogのe-book

Verification Engineerの戯言 http://blogs.yahoo.co.jp/verification_engineer/23787949.html に書きましたが、 一部の章は筆者のサイトで(http://www.trusster.com/books)公開されていましたが、 実は、出版元のSpringerLinkでe-Bookとして、すべてのベー…

HairSpray

映画好きの戯言 今までMOVIXで見ていたのだが、最近できたUNITED CINEMAに変更。 シートはMOVIXよりGood、ブラケットは係員に言わないといけない。 今回見たスクリーンは一番大きなものであったが、奥行きが短い。 私は視覚にすべてが入ってほしいのだが、こ…

今週の映画鑑賞、10/14-10/20

映画好きの戯言 ハイスクール・ミュージカル/HIGH SCHOOL MUSICAL(2006)(GyaO:日本語吹き替え版) http://www.allcinema.net/prog/show_c.php?num_c=325768 こんなに高校生活が楽しいのなら何度でも繰り返したい。 そんなに楽しい映画。ミュージカルと青春、…

アキュベリノス

Verification Engineerの戯言 EDA Expressによると http://www.eda-express.com/news/?m=p&idno=1181 ASIC検証事業専門会社として、アキュベリノス が立ち上がりました。 http://www.accverinos.jp/ FPGAをベースとしたプロトタイプシステムを開発、販売して…

仮想開発環境「Innovater」

Verification Engineerの戯言 EDA Expressに10月12日(金)に品川で行われました「Synopsys Users Meeting 2007」 http://blogs.yahoo.co.jp/verification_engineer/23592060.html の記事がアップされました。 http://www.eda-express.com/news/?m=p&idno=1180…

VMMとコミュニティ

Verification Engineerの戯言 VMMは、Synopsysが提唱している検証メソドロジーです。 言語として、SystemVerilogを使っているので、 「Verification Methodology Manual for SystemVerilog」という書籍もARMと共著で出版しています。 VMMの元ネタはRVM(Refer…

オープンソースとオープンソース開発

Verification Engineerの戯言 検証におけるオープンソースと言えば、なんといっても SystemC : http://www.systemc.org です。その他には、 ・Testbuilder ・Jeda などがありましたが、既にサイトが無くなっていたり、メンテナンスされていない状態です。 最…

Generator->Driver -> DUT -> Monitor -> Checker

Verification Engineerの戯言 VMM, AVM, URMでは、各機能の名称が微妙に違います。 このサイトでは、 Generator -> Driver -> DUT -> Monitor -> Checker とすることにします。 URMでは、Driverの部分がBFMとなっていますが、 DUTへの接続部分が必ずしも、Bu…

Trussterの書籍2冊のteal & truss部分の章が無償公開

Verification Engineerの戯言 Trussterの書籍2冊(http://www.trusster.com/books)のtealとtrussの部分の章が無償で公開されています。 Hardware Verification With SystemVerilog -- An Object Oriented Framework Hardware Verification with C++, a practi…

Google グループ:Verification 3.0立ち上げました

Verification Engineerの戯言 諸般の事情により私は、下記の'''Verification 3.0'''のGoogleグループにアクセスできなくなってしまいましたので、レスポンスができません。すみません。 ============================================= Verification Enginee…