Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-05-01から1ヶ月間の記事一覧

今月(5月)の映画鑑賞

映画好きの戯言 5月は、11本(DVD:10、GyaO:1)、見ることができました。 シャロウ・グレイブ/SHALLOW GRAVE(1995)(DVD) ヘヴン/HEAVEN(2002)(DVD) ビッグ・フィッシュ/BIG FISH(2003)(DVD) トレインスポッティング/TRAINSPOTTING(1996)(DVD) 普通じゃない/A L…

SAW V

映画好きの戯言 ソウ5/SAW V(2008)をDVDで見た。 終わらない。いつまで続くのか? 話は、SAW IVと同じSaw3のラストシーンと絡んでいる。 死んだはずのJIGSAWが、ではなく、死ぬ前にJIGSAWが仕掛けたモノが、 そして、妻にわたったJIGSAWの遺品の中味は? ど…

Multi-stream Scenario Generator (MSS)

Verification Engineerの戯言 Verification Martial Arts: A Verification Methodology BlogにMulti-stream Scenario Generator (MSS)がアップされました。 Multi-stream Scenario Generatorは、VMM-1.1で追加された機能です。 複数インターフェースを持つDU…

SystemRDLが米SPIRITの標準規格に!

Verification Engineerの戯言 日経EDAOnlineによると、レジスタの仕様記述言語「SystemRDL」,米SPIRITの標準規格になりました。 DenaliのSystemRDL Allianceでは、Mentor、MIPS、Rambusがメンバーになっています。 具体的な記述例は、DenaliのBuleprintにあ…

SystemC Japan 2009は、7月10日

Verification Engineerの戯言 SystemC Japan 2009が2009年7月10日(金)に、新横浜で開催されます。 詳細は、まだ出ていません。 ちなみに、SystemC Japan 2008は、こちらでした。 検証、Verification、SystemC

Using the New Features in VMM 1.1 for Multi-Stream Scenarios

Verification Engineerの戯言 San Jose, 2009でJL Grayさん(Verilab)、Clifford Cummingsさん(Sunburst Design, Inc.)が Using the New Features in VMM 1.1 for Multi-Stream Scenariosを発表しました。 このときのJL Grayさんのブログは、SNUG Plug: Multi…

Paradigm Works : OVM Scoreboard

Verification Engineerの戯言 またまた、Paradigm WorksがOVM関連のパッケージPW OVM Scoreboardを公開しました。 そして、SourceforgeにSystemVerilog FrameWorksとして公開しています。 このSystemVerilog FrameWorksの内容は、 This is a collection of t…

Bluespecセミナー

Verification Engineerの戯言 サイバネットシステム株式会社によるBluespecセミナーが 5月26日(明後日)に秋葉原の富士ソフトビルで行われます。 定員20名で1時間30分(1:30-3:00)、聴講形式のセミナーで無料です。 Bluespecを体験できる貴重なセミナー! 検証…

Bryan Singer

映画好きの戯言 Bryan Singerの最新作、ワルキューレ/VALKYRIE(2008)については、2009.3.21のブログに書いたが、 その後、彼の初期の作品ユージュアル・サスペクツ/THE USUAL SUSPECTS(1995)を観た。 この作品でケヴィン・スペイシー/Kevin Spaceyが助演男優…

OVM e users: vr_ad register definition shareware utility

Verification Engineerの戯言 IP-XACT XML to vr_ad fileで書いたIP-XACT XMLファイルをvr_adファイルに変換するツールipxact2vradがOVM Contributionにアップされました。 OVM e users: vr_ad register definition shareware utility 0.7から0.7aにちょっと…

OVP : Linux版とライセンスファイル

Verification Engineerの戯言 OVP : シミュレータの有料化?でOVPのシミュレータが有料化になるかもしれないと書いたが、 今日、Imprerasからメイルがきた。内容は、New OVPsim release available, Linux included. License charges for commercial usageに…

2つのVMMキット

Verification Engineerの戯言 いままでContributionであった Questa & IUS-compatible VMM KitとOVM/VMM Interoperability Kitが登録されました。 検証、Verification、SystemVerilog、VMM、OVM、Verification Methodology Manual、Open Verification Method…

DPI-C Tutorial

Verification Engineerの戯言 www.testbench.inには、SystemVerilog DPI-C Tutorialがあります。 インデックス付きでまとまっています。 DISABLEときの扱いもきちんと書かれています。 参考にしてみてくださいね! 検証、Verification、SystemVerilog、DPI-C

EETIMES.JAPANの記事

Verification Engineerの戯言 SystemVerilogテストベンチのデバッグ/解析、ロギングとシミュレーションの連携で効率化がアップされています。 記事では、 検証環境を構成するツール群のうち、テストベンチ・コードのデバッグや解析に対応するツールにとって…

OVP : シミュレータの有料化?

Verification Engineerの戯言 Verification VertigoのFree simulator - for now.によると、 OVPのシミュレータが有料になるかもしれないようです。 とりあえず、いまのうちにダウンロードしましょう! 先程確認したら、OVPsim PSE Toolchains のLinux版がリ…

VMM vs. OVM Becomes More Important

Verification Engineerの戯言 VMM vs. OVM Becomes More Importantは、内容より、コメントの方が多い。 TrussterのMike Mintzさん、Cool VerificationのJL Grayさん、MentorのDennis Brophyさんがコメントあり。 私は、VMMでもOVMでもSystemVerilogであれば…

Youtube : TLM

Verification Engineerの戯言 Doulos社のaijnsleijさんのチャネルです。 全部で5本のTLM関連のビデオがあります。 What is TLM-2.0? RTL vs TLM and AT vs LT TLM 2 0 Interoperability TLM 2 0 Checker TLM in OVM おまけです。 SystemC vs SystemVerilog O…

Google 検索ツール

Verification Engineerの戯言 Googleが検索ツールを公開しましたので、SystemVerilogを検索してみた。 検索結果の一番上の検索ツールを表示をクリックすると、左側にいろいろなリンクが表示されます。 最近の結果をクリックすると、最近Googleが登録したもの…

GreenSocs : Get TLM-2.0

Verification Engineerの戯言 GreenSocsはTLM 2.0をサポートしています。 GreenSocsでは、 ・GreenSocket ・GSGPSocket ・GreenAV ・GreenConfig ・GreenControl などがあり、GSPM(GreenSocs Package Management)を使ってダウンロードできます。 SystemC TLM…

SCDSource、本当に復活?

Verification Engineerの戯言 SCDSourceが復活したようである。 GW前後で記事がアップされている。 また、下記のようなSCDSOURCE NEWSLETTERがメールで届いた。 1. SCDsource Expands Scope While SCDsource will continue to cover EDA, the publication is…

Danny Boyle

映画好きの戯言 Danny Boyleは、今年のアカデミー賞8部門受賞したSLUMDOG MILLIONAIRE(2008)の監督である。 そのためかDVDレンタルショップに、Danny Boyleコーナーができ、過去の作品が置かれていた。 最近は、アカデミー賞を受賞した監督および俳優の作品…

OSCI、TLM 2.0のチュートリアルのビデオ公開

Verification Engineerの戯言 OSCIがTLM 2.0のチュートリアルビデオを公開しました。ただし、ユーザ登録は必要です。 内容は、DVCon2009のときのもので、次の通りです。 Introduction and Welcome Jack Donovan, President, XtremeEDA USA Overview of TLM-2…

46th DAC

Verification Engineerの戯言 46th DACのホームページが公開されています。 今年は6月ではなく、7月(26-31)のSan Franciscoです。 Verification and Test関連プログラムは、こちら。 関連ブログ ケイデンス:It's Not Too Early to Think About DAC 2009 Ver…

YouTube : SystemVerilog

Verification Engineerの戯言 SystemVerilogの解説ビデオもアップされています。 最初の数回はSystemVerilog Assertionですが、後半はその他もあります。 System Verilog 1 - 1 System Verilog 1 - 2 System Verilog 1 - 3 System Verilog 1 - 4 System Veri…

YouTube : Verification Methodology manual for System verilog

Verification Engineerの戯言 YoutubeでVerification Methodology manual for System verilogの解説ビデオがアップされています。 Verification Methodology manual for System verilog 検証、Verification、SystemVerilog、VMM、Verification Methodology M…

ModelSim XE 6.4b

Verification Engineerの戯言 XilinxがISE 11.1リリースに伴い、ModelSim XEも6.4bにバージョンアップしました。 ModelSim XE 6.4b Download ModelSim XEは、AlteraのModelSim AEのようにライセンス無しでは動きません。 ユーザ登録後、ライセンス発行しても…

今月(4月)の映画鑑賞

映画好きの戯言 今月からは毎週ではなく、1ヶ月の鑑賞メモにしました。 今月は、DVD7本、GyaO2本、テレビ1本。 相棒-劇場版- 絶体絶命!42.195km 東京ビッグシティマラソン(2008)(テレビ) ステップ・アップ2:ザ・ストリート<未>/STEP UP 2: THE STREETS(20…

VMMブログ、本格化

Verification Engineerの戯言 4月27日のブログ、Using vmm_test Base ClassでVMMブログにJanickさん以外の人がアップすることは書きましたが、 どうやら、VMMブログが本格化していくようです。 Performance and statistical analysis from HDL simulations u…