Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM : 公開されて1ヶ月

Verification Engineerの戯言

OVMが公開されて今日で1ヶ月が経ちました。この1ヶ月の間にEDSFairDesignConが開催され、OVMはそれなりに話題を呼びました。

OVM Forumの登録者数も約1,700名となり、ものすごい勢いで増えています。
スレッド数も50に近づき、Post数も200を超えました。OVM Forumの中でも最初に話題になったのは、Class Referenceはあるが、AVMのようなCookBookが無いのはつらい。
次にあがっているのは、「SequenceやVirtual Sequenceの使い方」。これは、CadenceのURMがMentorのAVMとは違って公開されていないので、情報が少ないため。
URMの関連する部分のドキュメントを公開するという作戦もあるが、OVM : URM(BFM, Driver, Monitor Class)との対応で書いたように、URMとOVMでは微妙に用語が違うので、URMのドキュメントを公開するだけでは解決しないと思います(公開しないより、公開した方が良いと思いますが)

OVMセミナーがワールドワイドで2月末から開催されます。日本での開催はまだありませんが、春~夏(4月~7月)あたりにある可能性が大です。
そのときは是非参加してみましょう!

このブログでの[この1ヶ月のOVM関連]は、31になりました(そのうち、Sequence関連は10を超えています)。
OVMのポイントは、Sequenceを制覇できるか?でしょう。ということは、URMユーザの方がAVMユーザに取って優位があるということになります。
しかしながら、AVMユーザがURMのSequenceが使えるようになるのは、AVMユーザにとって非常に良いことだと思います。
なにしろ、AVMでは階層構造のテストベンチ構築のためのフレームワークと各モデルはあるのですが、Sequenceにようなものが無かったのですから。

早く、CookBookが公開されるように!

検証、Verification、SystemVerilog、OVM、Open Verification Methodology