Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Bluespec の検索結果:

HLS : Gary Smith's ESL 2010 Market Trendsは、どうなった?

…5.9 (20%) BlueSpec ######### $4.4 (15%) Synfora PICO ######## $4.1 (14%) とあります。 どうなるでしょうか? メンターさん、アップしてくれないですかね? @MitchanAtMentor CadenceのCtoSが入ってきて、どうなっているのでしょうか? ところで、Gary Smith's ESL 2010 Market Trendsには、 ESL Synthesis Forecast 2010 が気にな…

Bluspec、なかなか来ませんね!

… 2009年の夏に、Bluespecを思いっきり取り上げ、 SystemVerilogの世界へようこそにも専用のページBluespec SystemVerilogまで作ったのに。 なかなか、来ませんね!どうしてなんでしょうかね? C/C++ベースHLSは、信号処理や画像処理には向いていると思うのですが、 制御系はなかなか難しいと思うんです。 SystemCで表現することは可能ですが、なかなかうまくいかない! その制御系をBluespecでやるっていうのはいいと思うのだが。 や…

祝:1500エントリ

…ystemC 109 UVM 81 Mentor 53 HLS 51 Bluespec 47 映画が人生と思っている自分にとって、趣味のOVMに数で負けているのは、納得できない。 何か、施策を打たないと! (OVMそのものは、これ以上増えるのはあまりないので、そのままでも2000エントリには逆転すると思うが、) ちなみに、SystemVerilog関連(SystemVerilog/OVM/VMM/UVM)は、500オーバー。全エントリの1/3。 検証、Verification

Bluespecで書いたソート回路

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespecで書いたソート回路がアップされています。 検証、Verification、Bluespec SystemVerilog

Bluespec 2.0

…9公開から始まった Bluespecのサイトを見たら、 Bluespec 2.0 になっていた。 一体、何が進化したのだろう。 下記の内容が加わった。 BluespecのWebサイトから引用 blue·spec (blu'spek) 1 The Synthesizable Modeling Company?? 2 Eliminates the modeling-to-RTL gap 3 Makes emulation feasible pre-RTL (not to ment…

この暑い夏は、Aldecを使ってみる

…009年の暑い夏は、Bluespec SystemVerilogを学びました。 この件については、こちらにまとめています 今年(2010年)は、OVM/UVMサポートを表明したAldecのRiviera-PROを使ってみることにしました。 具体的には、 現在のバージョン(2010.06)にてサポートされているOVM-2.1.1の例題を実際にシミュレーションをしてみます。 その他にも細かいこともやっていきます。 2010.10には、UVMの正式サポートがされるようなので、 検証…

Bluespec User Group Meeting 2010レポート by 小島さん

…Onlineに昨日のBluespec User Meeting 2010の内容が ほれ込むハード設計者が多い「Bluespec」,東京で2回目のユーザー会,富士通セミコンと日立が講演 としてアップされました。 図1のシミュレーション速度(△)って、まるで、Superlogのよう。。。ということは、もしかして。。。 すみません、私、ブッキングしてしまって、行けませんでした。 この記事を読んで、もっと、Bluespecのファンが増えてほしい。 P.S コンテストの結果は、どうだっ…

7月は、セミナー盛りだくさん

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 7月 2日(金) : SystemC Japan 2010、新横浜 7月13日(火) : Bluespec User's Meeting 2010、秋葉原 7月16日(金) : メンター:検証セミナー、品川 7月22日(木)、23日(金) : Cadence DASHOW 2010、明治記念館 検証、Verification

DAC2010 : HLS Panel

…-LEVEL SYNTHESIS (HLS)? Synfora、Calypto、Cadence、Bluespec、Forte、Mentor、AutoESL SynforaがSynopsysに買収されたので、Calypto、Bluespec、Forte、AutoESL。 Calyptoはたぶん残る。買収されるかもしれないが、 HLSとして、ForteとAutoESLがどうなるか? Bluespecはいいのだが、ユーザーが受け入れられるか? Verification、検証、HLS

GarySmith氏の講演でBluespecが

… Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 EDAExpressのツイートによると、 GarySmith氏の講演 BluespecはSoftware Virtual Prototypeにおけるモデリングの問題を解決するだろう だって、まだまだだぜ!Bluespec。 7月13日には、Bluespecユーザーグループミーティング2010もあるし、 検証、Verification、Bluespec

Bluespec User Group Meeting 2010開催

…士通が我が道を行く米BluespecのESL合成ツールを採用、この記事にもありますが、7月13日(火)に第二回目のBluespecユーザーグループミーティングが開催されます。 Cybernetのプレス発表 「Bluespec User Group Meeting 2010」開催概要 日付 2010 年7 月13 日(火) 会場 富士ソフト アキバプラザ 6F セミナールーム1 基調講演:太陽電池駆動低消費電力マルチコア・メニーコアのハードウェアとソフトウェア 早稲田大学、笠原…

見ておけリスト!

… ESL Synthesis ・Bluespec : Model Development Tools ・NextOp : RTL Functional Verification ・Zocalo : RTL Functional Verification ・Oasys : RTL Synthesis Zocaloの日経EDAOnlineの記事:アサーション・ライブラリをすぐに使うためのEDAツール,米Zocaloが出荷を開始 Oasysは、こちら 検証、Verification

Bluespec : パナソニックのUniPhierで採用

…tion Engineerの戯言 : SystemVerilogの世界へようこそ EDA Expressによると、 パナソニック、システムLSIの設計・検証用に米BluespecのESL合成ツールを採用したようです。 記事にもあるように、 Bluespecの最大なる利点は、高位合成に不向きと言われていた制御系回路を容易に合成できるです。 P.S Bluespecデザインコンテスト2010開催中です。 検証、Verification、Bluespec SystemVerilog

祝ブログ開設、3周年

…mC ( 70) ・Bluespec ( 41) ・TLM 2.0 ( 31) ・Mentor ( 27) ・UVM(OVM & VMM) ( 27) で、SystemVerilog関連が上位にアップ。Bluespecも ちなみに、昨年は、 ・映画好きの戯言 (148) ・OVM (145) ・検証全般 ( 83) ・VMM ( 77) ・SystemVerilog ( 69) ・SystemC ( 41) ・TLM 2.0 ( 27) ・書籍 ( 21) ・OVP ( 19…

Bluespecデザインコンテスト2010でiPadをゲットしよう

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 今日、EDSFari2010に行って、 Bluespecデザインコンテスト2010の商品が先日発表になった iPad であることを確認しました。 【EDSFレポート】サイバネット、Bluespecを用いたデザインコンテストを発表にも書いてあります! みなさん、iPadをゲットしましょう! 検証、Verification、Bluespec SystemVerilog

Bluespecデザインコンテスト2010、参考資料

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Bluespecデザインコンテスト2010の参考資料として、 All of SystemVerilogのBluespec SystemVerilogをご利用ください。 このブログにアップした記事をまとめています。 検証、Verification、Bluespec SystemVerilog

Bluespecデザインコンテスト2010、開催アナウンス

…mVerilogの世界へようこそ サイバネットシステム株式会社からBluespecデザインコンテスト2010開催のアナウンスがありました。 期間は、2010年3月1日(月)~5月31日(月)到着分まで有効です。 コンテスト専用ページは、1月28日(木)公開予定です。 応募期間内で30日間のライセンスを貸与されます。 入賞者は、2010年6月開催予定のユーザーミーティングにて発表されます。 みなさん、いかがでしょうか? 検証、Verification、SystemVerilog

HLS : SystemCよりC/C++

…en Years Later…によると、 Gary Smith EDAのESL Synthesisの言語シェアは、 C/C++ : 42%(2006) => 65%(2008) SystemC : 28%(2006) => 20%(2008) 2008年の残りは、なんと、Bluespec !、SystemCとの差はたった5% (やったぜ) のようです。 あくまでもシェアでかつ統計データのなので。 それにしてもここまで違いがでてくるとは? 検証、Verification、HLS

HLS : Gary Smith's ESL 2009 Market Trends

…でいないはず。 また、CadenceのCtoSもまだそれほど勢いがないとき。 それにしても、Bluespecが3位とはなんとも。 さて、今年(2009年)のShareは来年の今頃になるので、そのときのShareでほぼ決まると、私は思っています。 CadenceのCtoSがどれほどShareを取り、その部分がどのユーザからの移行なのかがキーになると思います。 2009年は後2ヶ月なので、事実としては既に決まっていますが、わかるのは1年先。 検証、Verification、HLS

Bluespec SystemVerilog Users Meeting 2009の受付開始

… 「レガシーコア復刻とコア開発時における仮想プラットフォームを用いた早期のペリフェラル接続」 「ARM9コア・ベースの簡易バーチャル・プラットフォームの作成事例」 「Bluespecによる効果的な設計」 「A SystemC virtual platform to develop and validate a UMTS layer 1 protocol stack」 「CoFluent Studio によるSoC設計方針の策定」 タイムテーブル 検証、Verification

祝:1000エントリ

…ystemC 63 Bluespec 39 TLM 2.0 32 OVP 24 Mentor 21 書籍 20 OVM & VMM 20 Virtual Platform 18 e 17 DPI-C 16 AVM 13 CQ出版 12 Truss/Teal 10 URM 10 Google/Youtube 10 お知らせ 10 STARC 9 Aldec 9 Synopsys 9 お仕事 8 HLS 7 Cadence 6 検索 4 Vera 4 リンク 4 Verifica…

Bluespec SystemVerilog : サマリー

Verification Engineerの戯言 Bluespec SystemVerilogのサマリーをAll of SystemVerilogにアップしました。 ご利用ください。 検証、Verification、Bluespec SystemVerilog

Bluespec SystemVerilog : 誰が使うか?

… 2009年8月は、Bluespec SystemVerilog月間でした。 1ヶ月間、Bluespec SystemVerilogを学んで、「誰が使えば、いいのか?」を考えてみました。 まず、Bluespec SystemVerilogは、一般的なESLツールとはちょっと違います。 ESLツールが得意なパイプラインの切り直しなどはできません。 なので、Cベースの高位合成ツールを使うような仕事をしている人は、 Bluespec SystemVerilogを使っても得られるメリ…

Bluespec SystemVerilog : StmtFSMパッケージ(その3)

…部分は並列動作になります。 driversMonitorsステートメントは、seqとendseqで囲まれた 1)、dut.clear 2)、parとendparで囲まれた部分 3)、$finish(0) の順に実行されます。 2)のparとendparで囲まれた2つのseqとendseqが並列に実行されます。 検証、Verification、Bluespec SystemVerilog P.S 今日で1ヶ月に及ぶBluespec SystemVerilogを学ぶを終了します。

Bluespec SystemVerilog : StmtFSMパッケージ(その2)

…_resetが真(1 or Ture)になると起動され、 fsm.startでFSMが起動されます。 FSMの実態は、mkFSM#(s) (fsm);のsの部分です。 このsは、Stmtであり、seqとendseqで囲まれたコードが逐次実行されます。 ちなみに、 FSM fsm(); mkFSM#(s) (fsm); は、 FSM fsm <- mkFSM(s); と表記することもできます。 }}} 検証、Verification、Bluespec SystemVerilog

Bluespec SystemVerilog : StmtFSMパッケージ(その1)

…gineerの戯言 Bluespec SystemVerilogには、finite state machine(FSM)を定義するのに便利なStmtFSMパッケージというのがあるんです。 StmtFSMパッケージには、次のようなインターフェースが定義されています。 ・FSM ・One FSMインターフェースは、 interface FSM; method Action start(); method Action waitTillDone(); method Bool don…

Bluespec SystemVerilog : レジスタへのリード/ライト

…gineerの戯言 Bluespec SystemVerilogが提供しているRegインターフェースには、2つのmethod(_writeと_read)があります。 interface Reg#(type a); method Action _write (a x); method a _read; endinterface: Reg _writeはActionメソッドで、_readはvalueメソッド。 レジスタへのリード/ライトは上記のメソッドを直接呼ぶのではなく、 ru…

Bluespec SystemVerilog : let

…gineerの戯言 Bluespec SystemVerilogでは、代入される変数は代入する型と同じでなければいけません。 しかしながら、一時変数を使うときにはもっと便利な方法として、letを使うというものです。 右辺値がValueメソッドの場合は、つぎのようになります。 let n = valueof(BuffSize); 代入されるnは、代入するvalueofメソッドの戻り値の型であるとbscにて推定されます。 代入文でないと一時変数の型が分からないので、必ず右辺は必要…

CQ出版 : 9/11ワークショップ

Verification Engineerの戯言 9/11(金)に開催されるコデザイン&コベリフィケーション・テクノロジ・ワークショップでの無料セッション。 まだ、空きがあるようです。ぜひ、申し込んでください。 全体のタイムテーブルを観てみると、1日じっくり聞くことができます。 Bluespecについてもハードウェア設計者のためのSystemCモデル構築というベンダーセッションもあります。 検証、Verification、CQ出版

Bluespec SystemVerilog : docアトリビュート

…gineerの戯言 Bluespec SystemVerilogでは、 docアトリビュートを使うことで生成されるVerilog HDLコードに含めることができます。 docアトリビュートは、 ・ トップレベルのモジュールの定義 ・ サブモジュールのインスタンス ・ ルールの定義 ・ ルールの式 に対して付けることができます。 (* doc = "This is a user-provided comment" *) 複数行のコメントにしたいときは、'''\n'''を使います…