Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2010-03-25から1日間の記事一覧

Macさん、HLSを語る

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Cadenceのブログ、&A: What Cadence Has Learned About High Level Synthesisでは、 Mike "Mac" McNamaraさんがRichard Goeringさんからのインタビューを受けるという形で、 CadenceのHLSにつ…

SNUGが20周年

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 来週(3/29-31)に行われるSNUG San Jose 2010は、SNUG 20周年になるようです。 Patinum Sponsorsが今年のFabへの投資トップ4の内3社とARM、IBM。そう、Intelがいないだけ! Gold Sponsorsは、FP…

Bluespec : パナソニックのUniPhierで採用

Verification Engineerの戯言 : SystemVerilogの世界へようこそ EDA Expressによると、 パナソニック、システムLSIの設計・検証用に米BluespecのESL合成ツールを採用したようです。 記事にもあるように、 Bluespecの最大なる利点は、高位合成に不向きと言わ…