Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

OpenLane の検索結果:

OpenMPWとchipIgniteで俺様半導体が作れますよ!

…、オープンなPDKとOpenLaneを使って、GDSを作成します。このGDSからGlobalFoundries 180nmまたはSkyWater Technologyの130nmで俺様半導体を作るわけです。 GDSから俺様半導体と俺様半導体が載った子ボードを作ってくれる仲介をしているのが Efabless です。 OpenMPWでは、回路情報等をオープンソースにするという条件で無償で俺様半導体を作ることができます。ただし、必ず俺様半導体ができるのではなく、希望者が多ければ抽選…

半導体チップ雑談、1周年!

…ler 12/26 OpenLane 1/9 : NVIDIAのCOPA-GPU 1/16 : Mobileye の EyeQ Ultra 1/23 : AMD EPYC Genoa 1/30 : ASML 2/6 : IntelのAltera買収は、失敗だったのか? 2/13 : MediaTekのChromebook用SoCKompanio 2/19 : IntelのJasper Lake 2/27 : Sapphire Rapidsの公式die shot と floor…

2021年を振り返って

…ompiler と openLane について調べました。 Verilatorの薄い本とVerilator勉強会 4月から5月にかけて、なぜか?Verilatorについて調べて、薄い本にしていました。 vengineer.hatenablog.com vengineer.hatenablog.com vengineer.hatenablog.com 時給換算すると、最低賃金にもなっていませんが、やってみたかったので、よかったです。 久しぶりに、勉強会をやりました。 vengi…

OpenLaneを眺めてみた(その5)

…/spm/runs/openlane_test/results/syntesis/spm.v は、論議合成のネットリストです。 floorplan PDN Placement (tmp/floorplan/3-initial_fp.def) IO Placement (tmp/floorplan/3-initial_fp.def => tmp/floorplan/4-io.def) Tap/Decap Insertion (tmpl/floorpaln/4-io.def =>…

OpenLaneを覗いてみた(その4)

… -v /XXXX/OpenLane:/openlane -v /XXXXX/OpenLane/designs:/openlane/inst all -v /XXXXX/OpenLane/pdks:/mnt/c/Users/haray/home/OpenLane/OpenLane/pdks -e PDK_ROOT=/XXXXX/ OpenLane/pdks --user 1000:1000 -e DISPLAY=:0 -v /tmp/.X11-unix:/tmp/.X11-u…

OpenLaneを覗いてみた(その3)

…めに 昨日の続きで、OpenLaneを眺めていきます。今回は論理合成についてです。 論理合成について 論文 論文 : OpenLANE: The Open-Source Digital ASIC Implementation Flow を読んでみて気になったのが、論理合成の部分。 論理合成に関してはいろいろなパラメータを与える必要があるんだけど、どんな感じで論理合成しているのかが論文の以下の部分から読み取れます。 Currently there are four defaul…

OpenLaneを覗いてみた(その2)

…ででした。 今日は、OpenLaneの出力を見ていきます。 OpenLane Output OpenLane の出力は、ここ に説明があります。 説明のために、出力されたディレクトリ構造部分を引用します。 designs/<design_name> ├── config.tcl ├── runs │ ├── <tag> │ │ ├── config.tcl │ │ ├── {logs, reports, tmp} │ │ │ ├── cts │ │ │ ├── finishi…

OpenLaneを覗いてみた(その1)

…C開発ツールです。 OpenLane 同じようなものに、OpenLaneがあります。 論文は、ここ : OpenLANE: The Open-Source Digital ASIC Implementation Flow ソースコード等は、OpenLaneは、OpenRordの github からダウンロードできます。 github.com SiliconCompiler と同様に、 Yosys : Yosys Open SYnthesis Suite openROAD : …

半導体チップの雑談、明日で10 =>11回目です。

…降に明日で9回やって、合計19回です。11/7 Google Pixel 6/6 Proに搭載されているGoogle Tensor11/14 AMD Instrict MI20011/21 AlibabaのYitian 91011/28 MediaTek12/5 StarFive12/12 AWSのGraviton3とTrainium12/19 SiliconCompiler12/26 OpenLane— Vengineer@ (@Vengineer) 2021年12月25日