Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-08-01から1ヶ月間の記事一覧

Bluespec SystemVerilog : StmtFSMパッケージ(その2)

Verification Engineerの戯言 StmtFSMパッケージには、次のようなモジュールが定義されています。 ・mkFSM ・mkFSMWithPred ・mkAutoFSM ・mkOnce 各モジュールの定義は、 module mkFSM#(Stmt seq_stmt)(FSM); module mkFSMWithPred#(Stmt seq_stmt, Bool pr…

今月(8月)の映画鑑賞

映画好きの戯言 8月は、週末出かけることが多くて、あまり見ることができませんでした。 CHEの2部作は、劇場で何度も予告編を見て、どんな感じかなーと思っていました。 結構良かったです。キューバと言えば、私にとっては「カストロ」でしたので、 「マンデ…

Bluespec SystemVerilog : StmtFSMパッケージ(その1)

Verification Engineerの戯言 Bluespec SystemVerilogには、finite state machine(FSM)を定義するのに便利なStmtFSMパッケージというのがあるんです。 StmtFSMパッケージには、次のようなインターフェースが定義されています。 ・FSM ・One FSMインターフェ…

Bluespec SystemVerilog : レジスタへのリード/ライト

Verification Engineerの戯言 Bluespec SystemVerilogが提供しているRegインターフェースには、2つのmethod(_writeと_read)があります。 interface Reg#(type a); method Action _write (a x); method a _read; endinterface: Reg _writeはActionメソッドで…

Bluespec SystemVerilog : let

Verification Engineerの戯言 Bluespec SystemVerilogでは、代入される変数は代入する型と同じでなければいけません。 しかしながら、一時変数を使うときにはもっと便利な方法として、letを使うというものです。 右辺値がValueメソッドの場合は、つぎのよう…

OVM : Three OVM Books Now Available

Verification Engineerの戯言 OVM WorldによるとThree OVM Books Now Availableのようです。 ・ Open Verification Methodology Cookbook by Mark Glasser ・ Step-by-Step Functional Verification with SystemVerilog and OVM by Sasan Iman ・ OVM Golden…

CQ出版 : 9/11ワークショップ

Verification Engineerの戯言 9/11(金)に開催されるコデザイン&コベリフィケーション・テクノロジ・ワークショップでの無料セッション。 まだ、空きがあるようです。ぜひ、申し込んでください。 全体のタイムテーブルを観てみると、1日じっくり聞くことがで…

Bluespec SystemVerilog : docアトリビュート

Verification Engineerの戯言 Bluespec SystemVerilogでは、 docアトリビュートを使うことで生成されるVerilog HDLコードに含めることができます。 docアトリビュートは、 ・ トップレベルのモジュールの定義 ・ サブモジュールのインスタンス ・ ルールの定…

Mentor : DaveさんのBlog

Verification Engineerの戯言 Mentorもブログ始めたんだーでVerificationがないぞーと書いたら、「ありますよ」とコメントいただきました。 確かにありました。ブログに書いたときに無かったので、追加されたのでしょう。 早速、内容をチェックしてみました…

DAC : Best User Track

Verification Engineerの戯言 今年のDACのDAC Best User Track Poster Award Honorable Mentionには、 DAC Best User Track: Visualizing Debugging Using Transaction Explorer in SoC System Verification が選ばれました。 プレゼンテーション資料は、こ…

Bluespec SystemVerilog : モジュール引数のアトリビュート

Verification Engineerの戯言 Bluespec SystemVerilogでは、モジュール引数には次のようなアトリビュートを持っている。 ・ osc= ・ gate= ・ gate_inhigh= ・ gate_unused= ・ reset= ・ clocked_by= ・ reset_by= ・ port= リファレンス・ガイドの13.6.1 A…

新サイト : 「SystemVerilogの世界へようこそ」、暫定公開します。

Verification Engineerの戯言 SystemVerilogに関するサイトを暫定公開します。 SystemVerilogの世界へようこそ 内容に間違い等がありましたら、コメントください。 よろしくお願いします。 検証、Verification、SystemVerilog

Bluespec SystemVerilog : メソッドの定義(ActionとActionValue)

Verification Engineerの戯言 ActionメソッドとActionValueメソッドの定義は次のようになります。 method Action ( type ) id ( methodFormals ) [ implicitCond ; ] { actionValueStmt } endmethod [ : id ] method ActionValue #( type ) id ( methodForma…

Bluespec SystemVerilog : 関数の定義(function)

Verification Engineerの戯言 Bluespec SystemVerilogでの関数は、SystemVerilogとほとんど同じ。 リファレンス・ガイドのPage.60によると、 function Bool notFn (Bool x); if (x) notFn = False; else notFn = True; endfunction: notFn function Bool not…

Bluespec SystemVerilog : インターフェースの定義

Verification Engineerの戯言 インターフェースの定義(実際は、methodの定義)は、モジュールの定義の中で行います。 メソッドの名前は、モジュールのインターフェースで宣言したものと同じでなければいけません。 戻り値や引数の数および型も同じでなければ…

Bluespec SystemVerilog : モジュールのインスタンス化(その2)

Verification Engineerの戯言 Bluespec SystemVerilog : モジュールのインスタンス化(その1)とは違う方法でモジュールのインスタンス化ができます。 基本的には、(その1)と同じですが、ちょっと違います。 リファレンス・ガイトの5.4.2 Long form instantia…

Verify2009 : 申し込み開始

Verification Engineerの戯言 Verify2009が9月18日(金)に品川コンファレンスセンターで開催されます。 基調講演は、2つ。 大規模SoC開発効率向上への挑戦と課題 (仮題) by ルネサステクノロジー SystemVerilog検証メソドロジの導入のコツとメリットについて …

Denali DAC Highlights

Verification Engineerの戯言 Denali Partyには出ていませんが、何故か、メールが来ました。 パーティの写真 パーティのビデオ EDA Idol's Season 3 EDA's Next Top Blogger EDA's Community Superheroes! DAC Fan Club 検証、Verification

Bluespec SystemVerilog : モジュールのインスタンス化(その1)

Verification Engineerの戯言 モジュールのインスタンス化は、SystemVerilogとはちょっと違います。 type identifier <- moduleApp; typeは、SystemVerilogではモジュール名になりますが、Bluespec SystemVerilogでは、インターフェースの名前です。 モジュ…

Bluespec SystemVerilog : モジュールの宣言

Verification Engineerの戯言 Bluepsec SystemVerilogのモジュールは、次のような感じ。ほとんど、SystemVerilogと同じ。 identifier(識別子)は、mkFooのようにmkで始め、次の文字を大文字にします。 (mkは、どうやらmakeを意味するようです)。 モジュールの…

CQ出版 : DAC 2009レポート

Verification Engineerの戯言 CQ出版社の組み込みネットに、」 不況の影響? EDA技術は派手なコンセプトよりも地道な改良が主流に ―― DAC 2009 レポート がアップされました。 NECの森岡さんによるものです。 森岡さんは、9/11(金):コデザイン&コベリフ…

CQ出版 : 半導体設計の変革の歴史,EDAにみる設計技術のイノベーション

Verification Engineerの戯言 山本靖さんの記事がCQ出版社の組み込みネットにアップされています。 半導体設計の変革の歴史,EDAにみる設計技術のイノベーション(前編) 半導体設計の変革の歴史,EDAにみる設計技術のイノベーション(後編) 後半のSynopsys…

VMM : 出ましたVMM本のKindle版

Verification Engineerの戯言 AmazonからVMM本のKindle版がリリースされました。 今なら、$106.70! 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

OVP : DAC VP09 Workshop資料

Verification Engineerの戯言 OVPのDAC VP09 Workshopの資料がアップされています。 Software Development using Virtual Platforms ARM9もサポートしました。 さあ、OVP を使いましょう! 検証、Verification、OVP、Open Verification Platform

Bluespec SystemVerilog : EDA ExpressのDACレポート

Verification Engineerの戯言 EDA ExpressのDACレポート3は、Bluespecです。 【DACレポート3】Bluespec、FPGAエミュレーション向けの新製品を披露 これによると、FPGAエミュレーションに関するモノ。 Bluespec Compilerにて、Bluespec SystemVerilogの記述は…

Bluespec SystemVerilog : インターフェスの宣言

Verification Engineerの戯言 インターフェースは、interface/endinterfaceの中にメソッドやサブインターフェースを宣言します。 メソッドは関数とおなじようなもので、0個以上の引数と戻り値を持ちます。 インターフェース中のメソッド宣言は、関数のプロト…

DAC : ブログ、まとめ

Verification Engineerの戯言 DAC関連のブログがまとまっています。 Blog Review: August 5 by System-Level Design 検証、Verification

Bluespec SystemVerilog : パッケージ

Verification Engineerの戯言 今日からは、例題に出てきたコードに関するBluespecの構文について調べて見ました。 ファイル名は、package名.bsv パッケージ名とファイル名が違うと、コンパイラに怒られる。 1)、パッケージ名の最初の文字は、大文字を推奨。 …

CQ出版 : 9/11ワークショップの長谷川さんのセッション

Verification Engineerの戯言 9/11(金):コデザイン&コベリフィケーション・テクノロジ・ワークショップでhdlabの長谷川さんが講演されます。 外部I/Oバスまで含めた包括的なTLMシステム検証環境構築のノウハウ ――モデルの作り方を工夫することで,ここま…

DAC : hdLab DAC2009 レポート

Verification Engineerの戯言 日本で一番、DACのことを知ることができるhdLab DAC2009 レポートが配信されました。 このボリュームのレポートを無料で配信してくれるhdlabさん、太っ腹です。 Gray Smithさんの注目は、ESL & Power。特に、ESL Synthesis。 こ…