Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

Verilator の検索結果:

Bluespec SystemVerilog の回路例 : APB

…ffefdfc } verilator_simulator Verilator にて実行してみます。 make verilator_simulator INFO: Verilating Verilog files (in newly created obj_dir) sed -f ../../build/Resources/Verilator_resources/sed_script.txt Verilog_RTL/mkTestbench.v > tmp1.v cat ../…

cocotb は、VPI, VHPI, FLI と HDL Simulatorと繋がっている

…ilog HDL) Verilator (Verilog HDL/SystemVerilog) GHDL (VHDL) Tachyon DA CVC (Verilog HDL) もサポートしています。 しかしながら、 Xilinx (AMD) xsim は、VPI をサポートしていないので、サポートしていません。 おわりに Verilog HDL の PLI (Programming Language Interface)、VPI, VHDI, FLI を使って、同じ検証用モ…

BluespecのRISC-V CoreをBluespecとVerilatorでシミュレーションしてみる(その2)

…をBluespecとVerilatorでシミュレーションしてみる(その1) の続き bluespec と verilator の違いを見る Piccolo CPUコアにて、bluespec と verilator の違いを見てみます。 まずは、bluespec の場合 $ cd builds/RV64ACDFIMSU_Piccolo_bluesim $ make compile INFO: Re-compiling Core (CPU, Caches) bsc -u -ela…

BluespecのRISC-V CoreをBluespecとVerilatorでシミュレーションしてみる(その1)

… BluespecとVerilatorにてシミュレーションができます。 RISCV GCC のインストール riscv64-unknown-elf-gcc をビルド&インストールします。 Cのプログラムを任意のRISC-V拡張に対応させてクロスコンパイルする方法(macOS, Ubuntu 22.04) を参考にしました。 Bluespec のインストール Bluespecのインストールは、BluespecのAWSteria_Infraを調べる(その1) の最初にやっているの…

BluespecのAWSteria_Infraを調べる(その4)

…、 今日は、HW側(Verilator)の中を調べていきます。 HW側(Verilator) HW側(Verilatorのコードは、ここ にあります。BluespecからVerilog HDLに変換しています。 トップ階層は、Platform_Sim/HW/Include_Common.mk のTOPFILEとして、Platform_Sim/HW/Top_HW_Side.bsv になります。 # ---------------- # Top-level file and mo…

BluespecのAWSteria_Infraを調べる(その1)

…も、BluesimとVerilatorでのシミュレーション環境もあります。 Simulation in Bluesim and Verilator simulation (infrastructure is in Platform_Sim/). Xilinx VCU118 FPGA board (infrastructure is in Platform_VCU118/). Amazon AWS F1 (infrastructure is in Platform_AWSF1/…

2022年を振り返って

…本をいっぱい書いた Verilatorの中を調べる、No.4、v5編 Xilinx Vivado の中を調べる Xilinx ZynqMP SoC VIP の中を調べる Xilinx Vitis の中を調べる Intel FPGA QuestaでSoftware Driven Verification Xilinx xsim の中を調べる、Software Driven Verification編 Xilinx xsim の中を調べる、基本編 Xilinx xsim の中を調…

Vortex をビルドしてみた (その1)

…] Error 2 verilator コマンドはエラーではなく、ワーニングなのに make ではエラーになっています。 %Error: Exiting due to 90 warning(s) どうやら、%Warning-EOFNEWLINE をディセーブルにするといいみたい?なので、verilator コマンドにて、-Wno-EOFNEWLINE オプションを追加(VL_FLAGSに-Wno-EOFNEWLINEを追加)、して実行したら、ビルドできました。 cd sim/…

RISC-V Vector Extension な ara を覗いてみた

…ップテストベンチは、Verilator と QuestSim は、別。 verilator 用 : hardware/tb/ara_tb_verilator.sv questasim 用 : hardware/tb/ara_tb.sv Verilator用 ara_tb.cpp hardware/tb/verilator/ara_tb.cppの中で、Verilator用のトップテストベンチを構築しています。 Ara の内部構造 Ara hardware/src/ara.svの…

Zynq MPSoCで、コンピュータを学ぼう (その6)

…ilog HDL (Verilator) このブログでは、Xilinx の QEMU と SystemC + Verilog HDL (Verilator) で Programmable Logic に実装した Verilog HDLの動作確認がデバイスドライバを含めて確認することができます。 vengineer.hatenablog.com vengineer.hatenablog.com vengineer.hatenablog.com vengineer.hatenab…

「Xilinx ZynqMP SoC VIPの中を調べる」の薄い本をリリースしました

… の中を調べる、基本編 Xilinx xsim の中を調べる、マニアック編 2021年にリリースした薄い本は、下記の5冊です。 UVM-SystemCを調べる RISC-Vの薄い本、「OpenSBIとLinuxを調べる」 Verilatorの薄い本「Verilatorの中を調べる」No.3、SystemC編 Verilatorの薄い本「Verilatorの中を調べる」No.2、テストデータ解析編 Verilatorの薄い本「Verilatorの中を調べる」No.1、例題解析編

「Xilinx Vitis の中を調べる」の薄い本をリリースしました

はじめに 昨年の春、Verilatorの中を調べる、No.1、例題解析編 をリリースしてから、1年とちょっと。 そして、今回リリースした、「Xilinx Vitis の中を調べる」で、10冊になりました。 「Xilinx Vitis の中を調べる」の薄い本 20回にわたって連載した「Xilinx Vitis の中を調べる」を薄い本としてリリースしました。 Xilinxの高位合成の歴史等を追加して、111頁にもなりました。 他の薄い本と同様に、BOOTH にて、購入できます。 …

Verilator 4.202 ベースのRTLflow は、C++コードではなく、Cudaを吐き出すっポイ

…ithub.com verilator-4.202 ぐらいのコードをベースに C++コードを生成するのではなく、Cuda コードを生成するというもの。 ビルド & 実行 下記のコマンドでビルド git clone https://github.com/dian-lun-lin/RTLflow.git cd RTLflow autoconf ./configure 簡単なコード(メモリモデル : top.v) をrtlflow で実行してみましたが、下記のようなエラーが発生して…

Xilinx xsimの中を調べる、3部作をリリースしました

…XIHl2KiWjoVerilatorの中を調べるシリーズもよろしくお願いします。 https://t.co/gbqkLF72gV— Vengineer@ (@Vengineer) 2022年6月12日 「Xilinx xsimの中を調べる」シリーズの3部作 第二弾として、「Xilinx xsimの中を調べる」シリーズの3部作をリリースし、BOOTHからダウンロード販売の開始を行いました。 Xilinx xsimの中を調べる (基本編) Xilinx xsimの中を調べる (…

Vengineerの薄い本シリーズ第二弾:Xilinx xsimの中を調べる

…5月にリリースした「Verilatorの中を調べる」シリーズをBOOTHからダウンロード販売しました Verilatorの薄い本「Verilatorの中を調べる」No.1、例題解析編 Verilatorの薄い本「Verilatorの中を調べる」No.2、テストデータ解析編 Verilatorの薄い本「Verilatorの中を調べる」No.3、SystemC編 おまけとして、 UVM-SystemCを調べる RISC-Vの薄い本、「OpenSBIとLinuxを調べる」 も。 X…

Xilinx xsim で Software Driven Verification ができるっぽい (その2)

…face を使えば、Verilator での C++ を使ったケースと同じような感じにすればいいのでは?と思った次第です。 Xilinx Simulator Interface docs.xilinx.com APIは、次のようなもので、かなり簡単なものの xsi_load で データベースからDesignを取り出す xsi_open でシミュレーションのオープン xsi_get_port_number でポート名からポート番号を取り出す xsi_get_value でポート…

Xilinx xsim で Software Driven Verification ができるっぽい (その1)

はじめに Verilator : SystemC + SystemVerilog Questa Intel FPGA 64bit Edition : SystemC + SystemVerilog にて、Software Driven Verification ができることは、下記のように紹介しました。 vengineer.hatenablog.com vengineer.hatenablog.com Xilinx の xsim でも Software Driven Veri…

Intel版Questaで SystemVerilog + SysmteC

はじめに 下記のVerilatorの薄い本、第三弾、SystemC編の例題を、Intel版Questaで動くようにしました。 vengineer.hatenablog.com Intel版Questaでは、SystemVerilog + SystemC が動く! Verilator + SystemC で動くなら、Intel版Questaでも動くじゃんということでやってみました。 テストベンチ側がSystemCなので、SystemVerilog側のコードをSystemC側から…

VerilatorとSystemC雑談会を開催しました

…に思いついたので、「VerilatorとSystemC雑談会」を昨日(5/2:火曜)に開催しました。 connpass.com VerilatorとSystemC で Software Driven Verification 最初の1時間で「VerilatorとSystemC で Software Driven Verification」について、お話しました。 先ほどの「VerilatorとSystemC雑談会」の資料です。VerilatorとSystemCでSoftwar…

Veirlator v5 では、Dynamic Scheduling が導入される?

はじめに Verilator v5 development branch が進行中のようだ。 github.com v5 development brach Scheduler に関して、次の2つの変更をベースに進行中のようだ。v5.002 として、リリースされるようである。 timed coroutines (Dynamic scheduling #3363) improved scheduling (Major refactoring of ordering for im…

2021年を振り返って

…ilog HDL (Verilator) のデモの内容を探っていく、たりしていた。 4月、5月は、Verilatorについて調べていた 7月は、俺様FPGAのお話。 8月から10月は、雑談、1on1、半導体チップ雑談をやっていました。 12月は、SiliconCompiler と openLane について調べました。 Verilatorの薄い本とVerilator勉強会 4月から5月にかけて、なぜか?Verilatorについて調べて、薄い本にしていました。 venginee…

お仕事用ノートPCをWindows 11 Proにアップグレードし、WSL2にて X11の動作確認をしました

…3 のインストール verilator 4.216のインストール、SystemCの例題の動作確認 おわりに とりあえず、お仕事用の設定の前にできることはやっておきました。 Core i7 1165G7、メモリ16GB、SSD512GBなので、今使っているもの(Core i3 7100、メモリ8GB、SSD256GB)の倍増なので、かなり快適です。 最初からBTキーボードと無線マウスを使うことになるので、キートップとタップパッドに触ることもないでしょう! 今のは3年半ぐらい使っ…

毎週日曜日の11:00~12:00に、半導体チップについて雑談会を行うことにしました

…ぶりに5月29日の「Verilator勉強会」で40分ぐらいお話したのが何となくいい感じだったので、やっぱりお話するのって必要なんだなーと思うようになりました。 7月頃からなんとなく、話さないとダメなんじゃないかと思い始めて、オンラインで 雑談や1on1を少しづつやったりしていて、やっぱり多少は必要だなと思いました。 雑談 ということで、8月から雑談会っぽいことを始めました。 8月9日は、「40年間エンジニアをやるために、真剣に考える7つのこと」のお話 昨日8月15日は、Ne…

UHDMは、Verilatorでどのように使われているのか?

…DM と UHDM-Verilator Integrationのビルド ということで、UHDM (Universal Hardware Data Model) と Verilator の Integration を行いました。 vengineer.hatenablog.com 上記のブログでは、VerilatorのBuildまでだけでしたが、今回は UHDM が Verilator の中でどのように処理されているのかを見ていきます。 AntMicro の Verilatorの…

UHDM と UHDM-Verilator Integrationのビルド

…ece iCE40 Verilator : Verilog HDL/SystemVerilog Simulator VTR : Verilog to Route : FPGA用開発ツール(論理合成から配置配線まで) SymbiFlow : FPGA用開発ツール(Yosys + VPR)、Xilinx 7-Series, Lattice iCE40, Lattice ECP5 FPGAs, QuickLogic EOS S3 これらのソフトウェアとは別に、SystemVeril…

俺様FPGAをOpenFPGAで作れるか?

…imizes BLIF circuits -- VPR : Versatile Place and Route (VPR) -- FASM : FPGA Assembly (genfasm) FPGA-Verilog FPGA-SDC FPGA-Bitstream おわりに OpenFPGAを使えば、俺様FPGAは作れそう。。。動作確認は、Verilog HDL Simulator が必要だけど、iverilog でも大丈夫かしら? verilator は使えそうにないしね。

Verilator v4.210 で、生成されるコードの構造が変わった。

…まった はじめに Verilatorの中を調べるでは、Verilator v4.200/v4.202 で生成されるコードについて調べました。 また、Verilatorの薄い本としても BOOTH にて販売しています。 Verilatorの中を調べる(その1) Verilatorの中を調べる(その2) Verilatorの中を調べる(その3) Verilatorの中を調べる(その4) Verilatorの薄い本:Verilatorの中を調べる、できました V4.210 で生成さ…

XiangShan RISC-V : L1 plus Cache がある RISC-Vコア

…Chisel で記述されたコアを 8コア を TSMC 28nm で実装したものもあります。 ソースコード github.com にソースコードがあるようです。 github.com 確かに、L1plusCache.scale もありました。 VCS と verilator でシミュレーションできるようです。 ドキュメント ドキュメントも 中国語ですが、github.com にて公開されています。 おわりに 上記のブロック図、github.com にある この図 のようです。

Dynamic Scheduling in Verilator Presented by Antmicro の講演ビデオとスライド

…duling in Verilator Presented by Antmicro」の講演ビデオとスライドが公開されたので、記録のために。 講演ビデオ:CHIPS Alliance - Dynamic scheduling in Verilator presented by Antmicro - 2021-06-21 スライドは、こちら。 関連ブログ:What You Need to Know About Verilator Open Source Tooling | Rob…

Verilator開発者の Wilson Snyder のお話

…お休みです。朝から、Verilatorの開発者 Wilson Snyder のお話をYoutubeで聴いています。FOSSi Dial-Up] - Looking back at 25 years of Verilatorまだ、500人も観ていないので、みんなで観ましょう!https://t.co/N2DluhljrM— Vengineer@ (@Vengineer) 2021年6月15日 2019-20221 にやったこと Hierarchical and Protect …