Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2010-03-01から1ヶ月間の記事一覧

Introduction to VMM 1.2 を見ました(その2)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Key Building Blocks of VMM 1.2, Jon Michelson, Verification Central Creating the Env Class Using Vmm Group, Faisal Haque, Verification Central Steps to Create a Generator Using VM…

Introduction to VMM 1.2 を見ました(その1)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Introduction to VMM 1.2, Doug Smith, Doulos Advanced Communication Schemes in VMM 1.2, Doug Smith, Doulos を見ました。 TLM-2.0のPort/Export、Analysis Portについて理解が深まりまし…

Mentor :xRTL Compilerって何だ?

Verification Engineerの戯言 : SystemVerilogの世界へようこそ MentorのブログEmulation 104 -- Running More Tests in Less Timeに 引用 For example, OVM provides transaction-based verification with interoperability between standards compliant si…

エレキジャック・フォーラム in Akihabara

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 2010年4月24日(土)、UDXカンファレンス [東京・秋葉原] にて エレキジャック・フォーラム in Akihabaraが開催されます。 登録は、明日(3月12日、深夜0:00から)! あの森岡さんの講演会(無料):…

そんなに、e のか?

Verification Engineerの戯言 : SystemVerilogの世界へようこそ CadenceのブログChallenging Misconceptions About Verification Languagesを読んで思ったこと。 Cadenceの立場であれば、 引用 Misconception #1: The design language defines the HVL choic…

無償ツールで実践する「ハード・ソフト協調検証」: ユーザ編:(marsee101さん)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ marsee101さんが、無償ツールで実践する「ハード・ソフト協調検証」を再現してます。 私の記事だけでは、ちょっと情報不足のようです。 第1回、無償ツールで実践する「ハード・ソフト協調検証…

Cadence : 早くもAMBA-4をサポート

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ARMからAMBA 4のアナウンスがあった3月8日当日にCadenceは、AMBA 4をサポートするVIPをアナウンス。 CadenceのブログVIP Portfolio Extension: New AMBA 4 Protocol Supportより。 AMBA 3に加…

UVM : UVM Register Package Surveyにご協力を!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 日本のみなさん、UVM Register Package Surveyにご協力を! CoolVerificationでもJL GrayさんがRegister Packages and the UVMでこの件、書いています。 検証、Verification、SystemVerilog、U…

Introduction to VMM 1.2

Verification Engineerの戯言 : SystemVerilogの世界へようこそ DVCon2010にて行われた Introduction to VMM 1.2のビデオが公開されました。 2時間20分の結構長いビデオです。なお、Synopsysがスポンサーです。 2週間程度で公開されたので、かなり早くなりま…

SystemC : SystemC-AMS

Verification Engineerの戯言 Date2010にて、OSCIからAnalog/Mixed-Signal Standardがアナウンスがあった。 かなり前からSystemC-AMSをEURO圏を中心に検討していたが、 仕様書(1.0)がOSCIからダウンロード可能になった。 SystemC AMS extensions User’s Guid…

Cadence : UbuntuでIUSを動かす

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Running Incisive on Ubuntu Linuxでは、今やメジャとなったUbuntu LinuxにIUSをインストールしている。 どうやら、SimVisionが動いているので、OKなのだろう! 最後に、 If only I could just…

JL Grayさんからのメール

Verification Engineerの戯言 : SystemVerilogの世界へようこそ JL Grayさんとお話、名刺交換、Twitterに対して、JL Grayさんからメールいただきました。 昔のメールから 名刺交換 メールの交換 私のブログサイトをGoogle Translateで意味の全くわからない訳…

Oscar 2010 Winners

映画好きの戯言 平日に映画の話もなんですが、Oscar(アカデミー賞)が決まったので、 Oscar 2010 Nominationsにも書きましたが、エンターテイメントではない方に軍配が上がりました。 結果は、以下の通り。 THE HEART LOCKER(6冠) Best Picture (作品賞) Dire…

JL Grayさんとお話、名刺交換、Twitter

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 休暇を取って、JL Grayさんのセミナーに行ってきた。 Labの途中で、JL Grayさんとお話しました。Blog(JL Grayさんと私)の話。 私のBlogは、日本語なのでJL Grayさんには理解不能の模様。日本語…

終わったけど、TwitterでDVCon

Verification Engineerの戯言 終わったけど、TwitterでDVCon 検証、Verification

来たぜ、Verification 3.0

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Verification 3.0についてこのブログに書いたのは、2007年7月11日。 この1年前(2006年6月)に某所にて講演したときに、 Verification 3.0という言葉は使っていないが同じことを説明した。 そし…

JL Grayさん、来日中

Verification Engineerの戯言 : SystemVerilogの世界へようこそ JL Grayさん、再び来日するに書きましたが、現在、JL Grayさん、某所でのセミナーのために来日中? 検証、Verification

Mentor : QuestaでSystemVerilog to SystemCを!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ケイデンスのIUSでは、OVM-SV and OVM-e to SystemC TLM2 Integration Exampleを使えば、 SystemVerilogとSystemCが接続できるが、 メンターのQuestaでは、どのようにするのか? How to connec…

祝ブログ開設、3周年

Verification Engineerの戯言 本日(ひな祭り、2010年3月3日)は、このブログ開設から3年目にあたります。 3年間で1160エントリ、58400訪問者(31500から約27000増)を超えることができました。 最初のエントリは2007年3月15日ですので、 もうちょっとあるのです…

2010年2月、アクセス・ランキング、今度は2つ、あがって7位!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 無償ツールで実践する「ハード・ソフト協調検証」(1) ―― SystemVerilogのDPI-C機能が、 2010年2月 アクセス・ランキング》 よく読まれた記事は...で7位になりました。 でも、次回(2010年3月)は…

Verification Academy : Just Released! OVM Basics

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Verification Academyに(OVM) Open Verification Methodology Basics Moduleがリリースされました。 次の8つのセッションから構成されているようです。 引用 Constrained Random Verification …

P1800-2012が始まった

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemVerilog: A time for change? Maybe not.によると、 どうやら、P1800-2012に対する活動が始まったようである。 IEEE 1800-2009 Ready for Purchaseにも書いたが、1285ページもあるのだが…