Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2012-01-01から1年間の記事一覧

SystemVerilogのfork disableについて

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorのDaveさんのツイートで知ったSystemVerilog Fork Disable "Gotchas"というブログ記事。 Verilog HDLでもforkをdisableで終了される…

Shangのプレゼンテーション資料

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Shangのプレゼンテーション資料がLLVM Developer Meetingのサイトで公開されました。 Generating Hardware Description with Target-Indep…

ET 2012 & EDSFair 2012に行ってみて

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 金曜日に行ってきました。ET2012 & EDSFair 2012に。 EDSFairを先に書かないのは、どうみても、規模が違いすぎるから。 MentorなんかEDAで…

スペシャルな夜、2012

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨年の好評につき、今年もありましたよ、昨日(11/15:木)に、スペシャルな夜が。 場所は同じのJazz Club。 昨年はほとんど舞い上がっていた…

LLVMベースのHLSがまだあります。

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TTA-based Co-design EnvironmentもLLVMべーすのHLS。 poclを使うことで入力としてOpenCLもサポート。 2 From C to VHDL as Quickly as Po…

Riviera-PRO 2012.10

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecは、Riviera-PRO 2012.10をリリースしましたね。 引用 ・シミュレーション性能の改善 – VHDLシミュレーションが20%高速になりました!…

eのC言語インターフェイスも進化している。

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogには、DPIでC言語とのインターフェイスがありますが、 eにもC言語とのインターフェイスがあります。 そして、そのインターフ…

cluelogicという会社

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 知り合いのツイートで知ったcluelogicという会社。 UVMに関するチュートリアルが10回分あります。 UVM Tutorial for Candy Lovers ソース…

VeriClear

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VeriforeがVeriClear (Interactive 2-D Projection Cross Coverage Viewer)というツールをオープンソースで公開しました。 UCAPI及びUCDB…

XilinxはZynq、AlteraはOpenCL

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった XilinxはZynq、AlteraはOpenCLに力を入れているということで、 カテゴリのFPGAからZynq と Altera OpenCLを分離しました。 まー、Xilinxだ…

Shang

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 中国の中山大学のオープンソースのHLS:Shang LegUpと同じLLVMベース。 しかし、説明によると、 引用 The Shang high-level synthesis fram…

LegUp

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった トロント大学のオープンソースのHLS、LegUpです。 以前、チェックした時はソースコードは公開されていませんでしたが、 今は公開されてい…

Altera、OpenCLに本気です!

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraがOpenCLのサポートを正式発表しましたね。 既に、量産対応になっていると。 それから対応基板も増やすような感じに。 Webinarも3つ…

VERIFICATION HORIZONS, Oct. 2012

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VERIFICATION HORIZONS, Oct. 2012がアップされています。 引用 ST-Ericsson Speeds Time to Functional Verification Closure with Quest…

またまた、OpenCLをサポートするFPGAボード

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Advanced Systems Development Kitは、Strativ Vが2個載っているFPGAボード。 2つのFPGAをPLXのBridgeで繋げています。 ブリーフは、こち…

XilinxのAXI BFMの記事

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった XilinxのXcell 81に、AXI BFMに関する記事があります。 Testing and Debugging Zynq SoC Designs with BFMs です。 でも、AXI BFMって有料…

Functional Hardware Verification

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのスポンサーによるビデオ、 Functional Hardware Verification (CS348) How to Verify Chips and Eliminate Bugsです。 ユーザ…

今月(10月)の映画鑑賞

映画好きの戯言 なななんと、ほぼ、GyaO ラストゲーム 最後の早慶戦(2008)(GyaO) ロボット/ENDHIRAN/THE ROBOT(2010) SWEET SIXTEEN/SWEET SIXTEEN(2002)(GyaO) ワンス・アポン・ア・タイム・イン・アメリカ/完全版<未>/ONCE UPON A TIME IN AMERICA(1984)(…

Code Patata

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 広告のリンクで知ったCode Patata。どうやら、HDL editor。 Windows版のみのようで、現在ベータ版。 検証、Verification、SystemVerilog

VHDL-2008: Why It Matters

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VHDL-2008について、4年半前に書いた記事VHDL 4.0 & IEEE 1076-2008。そろそろ改定時期なのだが。 VHDL-2008: Why It Mattersによると、 P…

AMBA VIP

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのAMBA VIPのプロダクトブリーフ、いろいろ書いてありますね。 信号及びトランザクションレベルの検証にUVMが使えるのね。 それ…

Parallelaは、ZedBoardを使っている

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Parallellaが75万ドルを集めたようです。 99ドルでボード、199ドルでは、ZedBoardもつくようです。 まだ、エントリーできますよ。 ただし…

Aldec Newsletter Q4-2012

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 定例のAldecのニューズレターです。 Q4-2012 - Aldec™ Design and Verification Newsletter この中に、UVM Webinar for Hardware Designer…

OpenCL on FPGA

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraがEAP(Early Access Program)として提供しているACLは、 OpenCLをAlteraの環境で使えるようにしたもの。 その紹介記事がOpenCL on F…

ケイデンスの検証関連ウェブセミナーいろいろ

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2nd Half Functional Verification Webinar Seriesでは、ケイデンスのツールを利用した内容のウェブセミナーがたくさんあります。 121025:…

iTBA

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorのVerification HORIZONSのIs Intelligent Testbench Automation For You?では、iTBA(Intelligent Testbench Automation)を使うこと…

リコーの事例発表 : 清水ファミリー

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verify2012のリコーの事例発表の件が、EDA ExpressとEDAOnlineに掲載されました。 EDA Expresa : Verify2012 社内で実用している実機レス…

Alteraで使えるAXI VIP

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AXIのBFMを探していたら見つけました。 Mentor Graphics AXI Verification IP Suite (Altera Edition) Quartus® II サブスクリプション・…

辻褄があった

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 噂は本当だったでも書きましたが、ケイデンスからHighIP Designに移ったMcNamaraさんに関するインタービュー記事: McNamara comes clean o…

お詫び:「Bluespecユーザーミーティングに参加して」の記事について

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespecユーザーミーティングに参加してに関して、 「ASICのRTLサインオフの基準を満たすコードを吐き出せていないから」 に対して、Blue…