Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Cadence

C-to-Silicon Compiler SystemC 検証技術ワークショップ

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 8月1日にケイデンスの無料セミナー C-to-Silicon Compiler SystemC 検証技術ワークショップがありますね。 内容は、こんな感じ。引用 1.…

XilinxのAXI BFMは、某社製

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Zynqによる俺様SoCを実装するには、AXI I/Fの回路を検証しなければいけません。 そこで、XilinxのAXI BFMを使うことになります。 データシ…

HLSでの学習カーブ

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:High-Level Synthesis Users: Productivity Gains Beckon, But Learning Curve Comes Firstでは、DACのPANEL、High-L…

ASIC/ASSPの開発にFPGAベースのプロトタイピングを使うためのトップ7の理由

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ: DAC 2012: The Top Seven Reasons for using FPGA Based Prototyping - System Design and Verificationでは、ASIC/…

これは、multi-platform based design?

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:Panel : Integrating low power ARM Processors into mixed signal designsでは、8ビットマイコン(8051)よりARM Corte…

CDN Live! Japanの申し込みが始まりました

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 7月20日(金)に開催されるCDN LIVE! Japanの申し込みも始まりました。 場所は、昨年と同じパン パシフィック 横浜ベイホテル東急です。 ラ…

Accelerated VIP

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスが検証ソリューションを拡充し、システム及び大規模SoCの検証を加速ということですね。 ケイデンスのプレスリリース:Cadence E…

シミュレータのオプションをチェックしてみようの日本語版

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった シミュレータのオプションをチェックしてみようで紹介したケイデンスの技術文書の日本語版、 先端SoC検証の要求に応える機能シミュレータ…

Virtual Divide and Conquer Enables Fixed Sub-Systems

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:Virtual Divide and Conquer Enables Fixed Sub-Systemsでは、 サブシステムについて語られています。 とはいっても…

シミュレータのオプションをチェックしてみよう

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのこれ(PDF文書)、ケイデンスユーザなら読みましょう。 日本語訳はこちら 特にシミュレーションの使い方は非常に参考になります…

VIPは外部調達するもの?

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Best Practices Selecting and Using Verification IP(VIP)では、 VIPをどう選んで、使っていくかについて書かれています。 現在のSoCには…

SimVisionでCプログラムをデバッグに

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Zynq Virtual Platform: Not Just for Pre-Siliconで始めて知りました。 あのSimVisionがCプログラムのデバッグできるようです。 あた…

DVclub Delhi

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVclubのDelhiでのプレゼンテーション資料がアップされています。 Verilog to UVM Migration Guidelines Verification Automation using I…

Book : Advanced Verification Topics、5章

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Advanced Verification Topicsの5章、 Developing Acceleratable Universal Verification Components (UVCs)を読みました。 内容的には、C…

Book : Advanced Verification Topics、2章

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Advanced Verification Topicsの2章、UVM and Metric-Driven Verification for Mixed-Signalを読みましたというより、ざーと見ました。 関…

Book : Advanced Verification Topics、1章

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Advanced Verification Topicsの1章、Introduction to Metric-Driven Verificationを読みました。 数年前は、Constrained Random Verifica…

Book : Advanced Verification Topics

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスの:Advanced Verification Topics を入手しました。 まだ、Amazon では買えませんが、Luluでは$60で買えます。 内容は、 Prefa…

UVM 1.2は、来年(2012)にリリース?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:ved Webinar: Bringing SystemC and C/C++ Models into UVMによると、 UVM 1.2は来年(2012)になるようです。 引用 Sher…

CDNLive! India 2011

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先日日本でも開催されました'CDNLive! 2011のインド版が開催されました。 その模様がケイデンスのブログ :Report on CDNLive! India 2011: …

TLM-Driven Design and Verification Methodology日本語版

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TLM-Driven Design and Verification Methodologyの日本語版を入手しました。ありがとうございました。 非売品です。ペーパーバックですが、…

今日は、CDNLive! Japan 2011

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日(10/13)は、CDNLive! Japan 2011です。 いつものように休暇をとっての参加ですが、通勤と変わりません。 以下のRoom BのSystem Realizat…

What Does SystemC Mean for Design and Verification?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった What Does SystemC Mean for Design and Verification?では、 GateからRTLに移行したように、RTLからSystemCに移行について。 RTL => Gate …

Boot Campだけでは、できませーん。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Can Your Verification Survive “Boot Camp”?では、 フォーマル検証とアサーションベース検証のブートキャンプのお話。 受けたことは無いの…

ウェブセミナー、たくさん

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:Free Webinars Explore Advanced Functional Verification Techniquesによると、 8/23~12/15にウェブセミナーがたくさ…

エース(ACE)をねらえ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EETimesの記事:Get control of ARM system cache coherency with ACE verificationは、 ARMのマルチCPU対応のキャッシュコヒーレンシをサポ…

IPはRTLだけでない!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:IP Cannot be an Efficient Abstraction Level Without SystemC!では、 IPはRTLだけでなく、SystemC IPに!それも、Sys…

Denali Partyの写真

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった FrickrにDenali Partyの写真がアップされました。 日本でやってもスーツ姿になってこんなに盛り上がらないと思いますが。 引用 @EDAExpress …

Cadence「ハードウェア/ソフトウェア協調開発プラットフォーム・セミナー」

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDAExpressに、Cadence「ハードウェア/ソフトウェア協調開発プラットフォーム・セミナー」がアップされました。 当日、@EDAExpressのツイー…

Pre-RTL Software Development -- You Can't Get Your Product to Market Without It!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ: Pre-RTL Software Development -- You Can't Get Your Product to Market Without It!では、 5/25(水)に開催されたハ…

Panel Discussion: Applying High-Level Synthesis in an SoC Flow

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログにPanel Discussion: Applying High-Level Synthesis in an SoC Flowがアップされました。 パネラーは、 * Jeff Bier, Fo…