Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Mentor

メンターの仮想デバイスソリューション?

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Chip Designに Beyond Confluence: Virtual Devices Expand the Emulation Landscapeという記事がアップされています。 内容はMentorのシ…

Verification HORIZONS 2011.11

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日、今日とメンターのセミナーということで! Verification Horizon, VOLUME 7, ISSUE 3がリリースされました。目次はこんな感じ。 引用…

画像処理アプリによるソフトウェアドリブン性能評価

画像処理アプリによるソフトウェアドリブン性能評価 富士通セミコンダクター株式会社 ET2011でDMPのGPUをFPGAに焼いてデモしていたもの。 プラットフォームベース設計に自社IPを組み込むために、 性能評価等を行いたい。 どうすればいい? というのが本セッ…

日本市場における機能検証の最新トレンド

日本市場における機能検証の最新トレンド Harry Foster 氏 (@HarryAtMentor)の恒例の機能検証の最新トレンドです。 今回は、2007年、2010年の世界データに対して、2010年の日本データの比較になります。 日本のデータは載っていませんが、ここの資料に2011月…

iTBAとは?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのブログ:Intelligent Testbench Automation Delivers 10X to 100X Faster Functional Verificationでは、iTBAについて紹介があり…

Acceleration of SystemC and TLM-2.0 Testbenches with Co-Emulation

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Academyに Acceleration of SystemC and TLM-2.0 Testbenches with Co-Emulationが追加されました。 引用(6つのセッションから…

Design Tech Forumに申し込みました

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、Tech Design Forumに申し込みました。 私は、11/29(火)のIC DAYの機能検証 & ESLソリューションにエントリしました。 IC-S1 : 日本市…

DVCon2011:Off To The Races With Your Accelerated SystemVerilog Testbench

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Off To The Races With Your Accelerated SystemVerilog Testbench この論文は、MentorのTransaction-Based Verification実例。 著者の一人…

Verification Academy : iTBA

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Verification AcademyのIntelligent Testbench Automationを見ました。 ・iTBA Primer (24:37) ・Introduction to iTBA (22:24) ・UVM/O…

Verification Horizons 2011, June

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Horizons 2011, Juneがアップされています。 DAC前の発行のようです。とりあえず、目次は 引用 ・How Do You Know You Have th…

Mentor : ESL to RTL verification flow with UVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mentor Graphics Addresses 28nm and 3D-IC Requirements in TSMC Reference Flow 12によると、Mentorは 引用 The Vista?? platform support…

Verification Academy : Update

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Academyに ・Basic UVM ・Advanced OVM (&UVM) ・Intelligent TB Automation がアップされました。 iPadのブラウザ経由でも各…

@HarryAtMentor さんの講演とプラスアルファ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、あー、日付が変わったので昨日、 メンター本社で行われた「Questaが可能にする機能検証改革」セミナーに行ってきました。 最初のセッ…

ModelSim Altera Edition v11は、6.6d

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Alteraの開発環境 Quartus II v11がリリースされました(2010.05.09)。 ModelSim Altera Editionも Windows 用 ModelSim-Altera Starter Edit…

「Questaが可能にする機能検証改革」セミナー

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「Questaが可能にする機能検証改革」セミナーが6/1(水):大阪、6/3(金):東京に行われます。 セミナー内容を以下に引用します。 13:00 - 14:…

Advanced Verification Technologies in the Real World

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorのVerification AcademyにDVCon2011でのセッションがアップされました。 ユーザー登録後、ログインが必要です。 Advanced Verificatio…

Catapult C and the 7 Samura??s

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのHLSブログに、Catapult C and the 7 Samura??sがアップされています。 このブログは、DeepChipのSubject: (ESNUG 488 #6) User ev…

SystemVerilogへにシフトが加速

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Wally Rhines DVCon 2011 Keynote の資料を見ると、これから使用する言語のSystemVerilogへのシフトが加速しそうです。 私が2006年に講演用…

シリコンのファーストサクセスは3割

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Wally Rhines DVCon 2011 Keynote によると、シリコンのファーストサクセスは3割、イチローの打率より悪い。 この3割は、同一会社で常に3割…

Register Assistant

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Horizons 2011.02のAchieving Flawless UVM Testbench Creationには、 メンターのRegister A…

Verification HORIZONS 2011.02

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification HORIZONS 2011.02がアップされています。 Adobe Flashのアプリケーションになっています。 …

Questa Code/Prime/Ultra

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mentorは、次世代のQuestaをアナウンスしました。 Mentor Graphics Transforms SoC Integration and Funct…

Verification Academy : Acceleration of SystemVerilog Testbenches with Co-Emulation Module

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorのVerification Academyに、エミュレーション関連の Acceleration of SystemVerilog Testbenches wi…

ModelSim Altera Edition v10.1は、6.6c

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Alteraの開発環境 Quartus II v10.1がリリースされています。 ModelSim Altera Editionも Windows 用 ModelSim-Altera Starter Edition v10.…

ModelSim Actel Editionは、6.6d

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Actelの開発環境 Libero 9.1がリリースされました。 シミュレータは、ModelSim 6.6dのActel Editionです。ModelSim 6.6の一番新しい、6.6dで…

ModelSim 10.0は、マルチコア対応です

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ModelSim SE 10.0のユーザーマニュアルのP.661に Multithreading on Linux and Solaris Platforms というのがあります。 LinuxとSolarisでは…

Mentorのウェブセミナー、たくさん

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2011年早々、MentorがMaking ESL Design and Verification a Realityというウェブセミナーをたくさんやります。 引用 ・High-level Synthesi…

ModelSim 10.0なう

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ModelSimが6.6からいきなり、10.0になったよ! なぜ? ユーザマニュアル、リファレンスマニュアルも公開していますよ! 検証、Verificatin、…

Verification HORIZONS 2010.11

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification HORIZONS 2010.11がアップされています。 Adobe Flashのアプリケーションになっています。 一番下のタブのPAGESを選択すると、…

Verification Planning and Management Introduction Module

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorのVerification Academyに、 Verification Planning and Management Introduction Moduleがアップされました。 内容は、 Why Plan? 23…