Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Bluespec の検索結果:

Bluespecで110コアプロセッサを!

…9公開から始まった Bluespec Blogに久しぶりにアップされたもの、 Hot Chips 2013 & BSV High-Level Synthesis: 110 Core Processor Chip 110コアのプロセッサをBluespecで設計したと。 45nmで100mm(2)、なかなかいいサイズ。開発工数は18人月。少ない。 でも、11x11なのせ、121なのですが、11コアどこにいったの? => @bluespec からのメンションで、11x10 のようで…

HaskellとFPGA

…raam, Parallel Scientific: The Awesome Haskell FPGA Compiler。 下の方にビデオもあります。ビデオの後半で同じHaskellベースのBluespecとの比較もあります Haskell => Verilog HDL => FPGAツールのパス。 Parallel Scientificのサイトを見ると、ツールというよりソリューションを提供しているようです。 FPGAだけでなく、Cloud Haskellなるものもあります。

Semu

…まった 久しぶりに、Bluespec関連です。 先週BluespecがBluespec Introduces High-Speed Verification and Hybrid Prototyping Solution for RTL IPというプレスリリースを出しました。 内容は、既存FPGAボード(Xilinx ML605 board)を利用したエミュレーション環境。 独自のC APIを使って、SystemC/C++/Cのモデルやテストベンチと接続可能。 Twitter…

HLSのマッケートシェア、Forteがトップ!

…tapult、3位はBluespecと。 2008年ではCatapultが圧倒的に良かったのが、Forteが追い上げてきた。って感じですかね。 ちなみに2010年のは、こちらにあります。 BlueSpecも50%ぐらい成長していますね。 Cadenceは何故か?0%って、。あー、これって、9月23日のブログ、噂は本当だったに関係するのでしょうね。 どうするのでしょうかね? Synopsysは下落。。どうもHLSって相性悪いのでしょうかね? Forte買収って、いう作戦もありま…

お詫び:「Bluespecユーザーミーティングに参加して」の記事について

…9公開から始まった Bluespecユーザーミーティングに参加してに関して、 「ASICのRTLサインオフの基準を満たすコードを吐き出せていないから」 に対して、Bluespec関係者から誤解があると、ご連絡いただきました。 現状では、 生成されるRTLコードがRTLチェッカーにてWarningが発生するケースは 特定できていて、Black Box処理をすることで対応可能である。 また、ASICユーザーも使っており、運用するには問題がないレベルである。 ということです。 皆さ…

Bluespec:富士通QNETの事例

… Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のBluespecユーザーミーティングに参加してにEDA Expressがアップするかもと書きましたが、 日経EDAOnlineの方が先にアップしました。 小島さん、私の死角にいらっしゃったようですね。 「BluespecをRTL設計者の視点で評価してみた」、富士通QNETが講演 検証、Verification、Bluespec

Bluespecユーザーミーティングに参加して

…いから」 に対して、Bluespec関係者から誤解があると、ご連絡いただきました。 現状では、 生成されるRTLコードがRTLチェッカーにてWarningが発生するケースは 特定できていて、Black Box処理をすることで対応可能である。 また、ASICユーザーも使っており、運用するには問題がないレベルである。 ということです。 皆さんに誤解があるような表現をしたことをお詫びします。 Bluespec User Group Meeting 2012 Oct.に参加しました。…

今日は、Bluespec User Group Meeting 2012 Oct. ですね

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、休暇を取って、Bluespec User Group Meeting 2012 Oct.に参加します。 最後のオリンパスの「Bluespec導入経緯とESL環境構築への適用」が気になります。 ツイートできるかな? 検証、Verification、Bluespec

Bluespec User Group Meeting 2012 Oct.

…itter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 1月27日(金)のセミナーから8ヶ月、またやります。 Bluespec User Group Meeting 2012 Oct.です。 今回は、3社の事例発表です。 富士通九州ネットワークテクノロジーズ株式会社 さん、最近、めちゃ、活躍中。 検証、 Verification、Bluespec P.S セミナー後の飲み仲間を募集しております。Twitterにて、ご連絡を。

DAC2012:日立のBluespecの事例

…【DAC 2012】Bluespec利用時の検証作業の課題を解決へ、日立が発表の記事。 Bluespecが生成するRTLのデバッグにVennsaのOnPointを使ったという。 2012年1月27日(金)に行われたBluespec User Group 2012のVennsaのセッションでも既にそのことは告知済み。 そのセミナーでも日立はユーザー事例を発表していて、Bluesimは使っていないと。 このとき、小島さん、一番前に座っていたような気がしたのは、気のせいでしょうか?…

Bluespec体験セミナー

…9公開から始まった Bluespec体験セミナーが定期的に開催されるようです。毎月1回のようですね。 引用 2012/6/21(木) 東京 13:30~17:00(13:00受付開始) 2012/7/20(金) 東京 13:30~17:00(13:00受付開始) 2012/8/24(金) 東京 13:30~17:00(13:00受付開始) 2012/9/21(金) 東京 13:30~17:00(13:00受付開始) GUIが付いたBluespecが使えるのですかね? 参加する…

祝: 2000エントリ、暫くお休み

…85 HLS 73 Bluespec 63 FPGA 63 1500エントリのときに次のように書きましたが、そのとおりになりました。 映画が人生と思っている自分にとって、趣味のOVMに数で負けているのは、納得できない。 何か、施策を打たないと! (OVMそのものは、これ以上増えるのはあまりないので、そのままでも2000エントリには逆転すると思うが、) SystemVerilog関連(SystemVerilog/OVM/VMM/UVM)は、664オーバー。全エントリの1/3は変…

IBM PowerPC Design in Bluespec

…9公開から始まった Bluespec User Meeting 2012にて、 BluespecからのプレゼンテーションにあったPowerPCの事例は、IBM PowerPC Design in Bluespecだと思います。 日付は2008.12.09になっているので、既に3年も前ですね。 私が長期休暇でBluespecを学んだ2009年の夏より前ですね。調査不足でした。 PowerPCのパイプラインをBluespecで実装するというもの。 FPGA(Xlinx Virte…

Bluespec:MIT & Intelの事例(LEAP)

…9公開から始まった Bluespec User Meeting 2012にて、BluespecからのプレゼンテーションにあったIntelの事例は、LEAP: A Virtual Platform Architecture for FPGAsかもしれません。 IntelだBluespecのビックユーザーでかなり利用されているようです。 たぶん、2010年頃の論文(Leap overview paper from the 2010 Carl workshop)。 このLEAPは、…

Bluespecは、他のHLSと違う

…9公開から始まった Bluespec continues to attract venture moneyによると、4つの投資から1.5Mドル調達したそうな。 合計で18.25Mドル。 (某システムの55億円よりはかなり安いですが) どうやら、Bluespecは他のHLSベンダーとは違うようですね。 で、100億程度で買収されれば、それなりのリターンが入りますからね! Bluespecはかなり前から気になっていたベンダー。 このブログで取り上げたのは2008年5月8日のBlu…

Free Bluesim

…9公開から始まった Bluespec User Meeting 2012の最後に嬉しいお知らせ。日本限定でBluesimの無償板(Free Bluesim)がリリースされます。 リリース開始:2012年2月1日(水) 機能限定版(オブジェクト数600まで) ライセンスは、3ヶ月(おかわりOK) サポートは無し のようです。 この他に評価版があり、こちらは機能制限は無く、サポート有りのようです。 Windowsはサポートしていません。Linuxでは、UbuntuとCentOSで…

Bluespec User Group 2012のVennsaのセッション

…を高めてくれるVennsaのOnPoint。どうやら、Bluespecに対応した模様。 BSVとBluespecが生成したRTLとマッピングをすることで、OnPointにて解析ができるということ。 そして、アジェンダには無かった事例(九州大学大学院)です。 デバッグを波形ベースで行いたいという解に、OnPointを使ったということ。 Bluespecでは、OVL Assertionが使えるので、BSV記述に埋め込めるようです。 Verification、検証、Bluespec

Bluespec User Group Meeting 2012の日立の事例

…(金)、今日行われたBluespec User Group Meeting 2012での日立の事例です。 評価を始めてから1年半で導入、その後、高位レベル合成、テストベンチ、エミュレータにも適応。 現在、15人のユーザーがいる。 一部、既存のRTLとCを使っていますが、残りはすべてBluespec。 Bluespec SystemVerilogで記述し、Bluespecで合成RTL & テストベンチを生成し、RTL Sim。 Bluesimは使っていない。どうして、Blues…

Bluespec User Group Meeting 2012

… アキバプラザにて、Bluespec User Group Meeting 2012が開催されます。 今回は前回評価事例を発表した日立製作所が導入事例を発表するようです。 引用 通信ネットワーク事業部でのFPGA論理設計・検証へのBluespec適用事例 株式会社日立製作所 通信ネットワーク事業部 共通設計本部 DA部 主任技師 菊池 光司 氏 弊事業部では、「Bluespec」を2010/12に導入し、 光通信ネットワーク装置向けFPGA開発への適用を開始した。 今回、8割…

今年も首位、Catapult

…、Calyptoによる買収でこう変わるによると、 米Gray Smith EDA社の2010年のHLSのシェアは、(ちなみに、2000ドル出せば、詳細を入手できます)。 1位 47% : CalyptoのCatapult 2位 29% : たぶん、ForteのCynthesizer なのでしょう! 2社で76%って、寡占ですね。残りの24%は、CtoS、Synphonyとあと、何? 不覚にも、Bluespec があると、コメントされた。 検証、Verification、HLS

みなみちゃんって、誰ですか?

…、 【第29回】メルマガ10月号みなみちゃんの悪戦苦闘「回路と基板」お勉強日記 初めて足を踏み入れたASIC/FPGAの世界 なるものを発見しました。 URLは、こちら 知り合いのクノさんと掛け合い漫才やっています。 クノさんが出てくるということは、どうも、Bluespecに関することのようです。 EDSFaiarでは、みなみちゃんにお会いできるのでしょうかね? クノさん。コメントお待ちしております。ご本人でもかまいませんが。 検証、Verification、Bluespec

VirtualTurbo-II

…ystemC/C/C++でアプリケーションが作れます。 BluespecのemVM、AldecのHESとの違いは、VirtualTurbo-IIはハードウェアが基本であり、 FPGAボードにLogicBenchを使っているので拡張(FPGAの規模)ができるということ。 ここが最大なる特徴なのに、最後の1行に LogicBenchにユーザ論理(ユーザトランザクタ、DUT)を搭載するため、大規模論理に対応可能です。 は、ちょっと残念です。 検証、Verification、FPGA

FPGAボードでエミュレーションシステムを作る

…9公開から始まった Bluespec社のEmulation Infrastructureの2番目の図を見て思ったこと。 PCI Express I/FのFPGAボードがあれば、エミュレーションボードできる! AlteraやXilinxが提供しているPCI Express I/FのFPGAボードを利用して、 SCE-MIのエミュレーションシステム出来そう。 そのためには、FPGAボードのデバイスドライバ、SCE-MIのランタイムが必要。 また、FPGA内部のSCE-MI部分の回…

emVMとTCP

…は、ハードウェア側がBluespec Simulatorあるいは、Verilog HDL Simulatorのときです。 SCE-MI I/F(SCE-MI link)とPCの間の通信をTCPで行っているようです。 このときは、当然、ソフトウェア側もTCP通信をするために必要な設定をする必要はありますが、 そこはbsv_scemi.hヘッダファイルで定義されている各クラスを使うことでいいので、 ユーザはTCP通信を意識しないですみます。 TCP通信をしているので、ソフトウェア…

Tutorial emVM

…べては、SystemC v0.9公開から始まった emVMは実際にはどんなものなのでしょうか? Bluespec Tutorial Implementing a Software-Hardware Co-Execution with emVMでは、 emVMを使ったソフトウェア・ハードウェア協調検証をどうするのかを説明してくれます。 このドキュメントは「June 28, 2011」と最近リリース(アップデート)されたものです。 検証、Verification、Bluespec

emVM

…タ(emvM)では、Bluespecが提供しているエミュレーション環境です。 汎用FPGAボードを使用してエミュレーションを実現するもので、とありますが、 PCとの通信するためのEmulation Linkというものが必要です。 そのためのなんらかのH/Wが必要なので、 Bluespecが提供しているFPGAボードだけが対象になるような気がします。 とはいえ、この環境を使えば、エミュレーションができるわけです。 AldecとEmulatorも同じようにFPGAボードを使ってエ…

Bluespec SystemVerilogとSCE-MI

…roject 4: Viterbi Decoderについては、なぜか、成果は公開されていません。 BSVでもFPGA BoardとSCE-MIで接続して、アクセラレーションできるようです。 Sce-Mi Co-Emulation with Bluespec SystemVerilog, Revision: 3 March 2009 Lab 4: Audio Pipeline on the FPGA 三好さん@電気通信大学の資料 検証、Verification、Bluespec

Panel Discussion: Applying High-Level Synthesis in an SoC Flow

…arketing, Bluespec, Inc. * Michael (Mac) McNamara, Vice President & General Manager, System Level Design, Cadence * Chris Rowen, Ph.D., Founder and Chief Technology Officer, Tensilica, Inc. です。 Bluespecが入っているのはなぜ?って感じ。 検証、Verification、HLS、C…

BSV by Example を get しました。

…air2011に、夕方、行ったら、 Bluespec SystemVerilog本で紹介しましたBSV by Exampleをいただきました。 ありがとうございました。 でも、私は知っています。。。。???を! 検証、Verification、Bluespec SystemVerilog P.S ワインも別のブースでいただきました。今回はこれが目的でした。 それから、あそこと、あそこで、おつまみとお菓子を! そして、お試し下さいのスニッカーズもいただきました。脳味噌の栄養に!

Bluespec SystemVerilog本

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec SystemVerilogの本BSV by Exampleが$26でリリースされています。 検証、Verification、Bluespec SystemVerilog